עיסקת eASIC: אינטל נכנסת לתחום ה-Structured ASIC

17 יולי, 2018

אינטל רוכשת את החברה שהוקמה על-ידי הישראלי צבי אור-בך, כדי לפתח דור חדש של רכיבים המצויים בתווך הטכנולוגי שבין רכיבים ייעודיים (ASIC) לבין רכיבים מיתכנתים מסוג FPGA

בתמונה למעלה: דניאל מקנמרה (משמאל) עם מנכ"ל eASIC רוני ואסישטה

חברת אינטל מרחיבה את פעילותה בתחום הרכיבים המיתכנתים, ורוכשת את חברת eASIC מסנטה קלרה, קליפורניה, אשר פיתחה טכנולוגיית ייצור מסוג Structured ASIC הנמצאת בתווך בין תכנון ASIC ייעודי לבין שימוש ברכיבים מיתכנתים מסוג FPGA. מנהל קבוצת הרכיבים המיתכנתים של אינטל (לשעבר חברת אלטרה), דניאל מקנמרה, דיווח על העיסקה בפוסט שהעלה בבלוג של אינטל.

העיסקה מכניסה את אינטל לסוג חדש של טכנולוגיות ייצור שבבים. "בטווח הארוך, אנחנו רואים הזדמנות באפשרות לפתח סוג חדש של רכיבים מיתכנתים, אשר מנצלים את יתרונות של טכנולוגיית Embedded Multi-Die Interconnect Bridge של אינטל כשהיא משולבת בטכנולוגיית ה-FPGA ביחד עם טכנולוגיית structured ASIC במארז יחיד. אנחנו מצפים להשלים את העיסקה ברבעון השלישי של 2018", הוא כתב.

רעיון ישן עם הזדמנות חדשה

היקף העיסקה לא נמסר, אולם יש בה מרכיב ישראלי מעניין. חברת eASIC הוקמה לפני 19 שנים על-ידי הישראלי צבי אור-בך, ששימש גם כמנכ"ל החברה במשך 6 שנים. לאחר שפרש מהחברה הוא הקים את Monolithic 3D המפתחת גם היא טכנולוגיות ייצור חדשות בתחום השבבים. לפני eASIC , ייסד אור-בך את חברת Chip Express, שגם היא פיתחה טכנולוגיית Structured ASIC, אשר נמכרה בהמשך ל-Gigoptix שנירכשה בתחילת 2017 על-ידי IDT.

בתחום ייצור השבבים קיימות שתי גישות מרכזיות: תכנון מעגל ייעודי (ASIC) שהוא יעיל מאוד, אולם יקר לביצוע מכיוון שהוא כולל תכנון של כל המרכיבים, מרמת השער הלוגי וכל מסיכות הייצור. אפשרות אחרת היא שימוש ברכיבים מיתכנתים מסוג FPGA, שבהם הפיתוח מהיר וזול יותר, אולם עלותם גבוהה וצריכת ההספק שלהם מאוד גדולה ביחד ליישום הנדרש, מכיוון שהם מבוססים על יחידות לוגיות אחידות המקושרות באמצעות תוכנה, ולכן מעגל מיתכנת זקוק להרבה מאוד תשתית היקפית מסביבו.

מורידים את המסיכות

גישת Structured ASIC מוכרת בתעשייה כבר יותר מ-20 שנה ומעולם לא הפכה לתפישה מרכזית. בגישה הזאת הלקוח מקבל פרוסת סיליקון הכוללת מרכיבים פנימיים מוכנים, כמו טרנזיסטורים, רגיסטרים ואפילו ממירי ADC/DAC, כאשר התכנון נעשה ברמת שכבת מוליכי המתכת, שבה הלקוח קובע מה יהיו החיבורים החשמליים בין מרכיבי המעגל. בהשוואה לתכנון ב-FPGA, כאן הקישוריות נעשית בחומרה ולא בתוכנה, ובהשוואה ל-ASIC סטנדרטי, המפתח עוסק במספר קטן של שכבות הולכה (מסיכות) מתכתיות, ולא צריך לתכן ולדאוג לייצור כל שכבות הסיליקון בשבב הסופי. הדבר מאיץ ומוזיל את התכנון בהשוואה ל-ASIC, ומייעל את הביצועים בהשוואה ל-FPGA.

מה אינטל מתכננת?

טכנולוגיית EMIB שמקנמרה הזכיר בפוסט, פותחה על-ידי חברת אלטרה כדי לשלב מספר שבבי סיליקון במארז יחיד, בפורמט שקיבל את הכינוי 3D System-in-Package. יכול להיות שהוא רומז על דור חדש של רכיבים, המאפשרים פיתוח מהיר של מערכות גדולות מאוד על-גבי שבב (SoC) הכוללות מספר רב של פיסות Structured ASIC נפרדות, ואפילו שילוב שלהן עם FPGA, במארז יחיד. הטכנולוגיה המתקדמת ביותר של eASIC מיושמת בפלטפורמת Nextreme-3S, בגיאומטריה של 28nm CMOS. היא מאפשרת ליישם מעגלי תקשורת הפועלים בקצב של עד 28Gbps ולייצר שבבים בטכנולוגיית הייצור של TSMC, שהם שווי ערך למעגל ASIC הכולל 18 מיליון רכיבים לוגיים (Cells).

אתגר הדור החמישי מעבר לפינה

חברת אינטל נכנסה לתחום הרכיבים המיתכנתים בשנת 2015 כאשר היא רכשה את חברת Altera תמורת 16.7 מיליארד דולר. עד היום זוהי עיסקת הרכישה הגדולה ביותר בתולדותיה של אינטל. העיסקה הזו נולדה מהצורך לספק תשתיות מיחשוב מסוג חדש לעולם הענן והשרתים, אשר דרשו יכולות עיבוד מהירות שמעבדי CPU סטנדרטיים אינם מסוגלים לספק. כעת נראה שעיסקת eASIC קשורה להתפתחות נוספת בשוק: "טכנולוגיית structured ASIC", כתב מקנמרה, "תאפשר לנו לספק פתרונות ללקוחות הדורשים ביצועים גבוהים בהספק נמוך, כפי שהם נדרשים לעשות בשווקים כמו הדור הסלולרי הרביעי והדור החמישי (5G) ובשוק האינטרנט של הדברים (IoT)".

לכתבות נוספות על חטיבת הרכיבים המיתכנתים של אינטל: Intel FPGA

Share via Whatsapp

פורסם בקטגוריות: חדשות , סמיקונדקטורס

פורסם בתגיות: ASIC , FPGA , אינטל