TSMC בחרה ב-Avnet ASIC ישראל כספקית טכנולוגיות ASIC

בתמונה למעלה: הצוות המוביל באבנט אייסיק ישראל. קרדיט: אייל טואג

חברת Avnet ASIC Israel מבני דרור חתמה על הסכם שיתוף פעולה עם חברת TSMC הטאיוואנית, שבמסגרתו היא מונתה ל-Value Chain Aggregator – VCA של TSMC. אבנט אייסיק מספקת שירותי תכנון וייצור רכיבי ASIC (שבבים ייעודיים המתוכננים ומיוצרים ללקוח ספציפי וליישום ספציפי) עד לרמת המערכות על-גבי שבב. המינוי יאפשר לה לספק לחברות ישראליות, סטארט אפים וחברות מבוססות בארץ ובעולם, קשת רחבה של שירותים, החל ממפרט לתכנון שבבים (Spec), דרך תכנון לוגי ועד לייצור המוני בתהליכי הייצור המתקדמים ביותר של TSMC הטאיוואנית.

אבנט אייסיק תשלב יכולות תכנון בפלטפורמת החדשנות הפתוחה של TSMC (Open Innovation Platform – OIP) ותציע שירותים בכל שלב בשרשרת הערך של השבבים, כולל בשלבי פיתוח הקניין הרוחני (IP), התכנון, ייצור פרוסות הסיליקון, הרכבה ובדיקה. המנהלת העסקית של אבנט אייסיק ישראל (AAI), יוליה מילשטיין, אמרה שלחברה יש מורשת משותפת של 20 שנות שיתוף פעולה עם TSMC. "הרחבת השותפות לשירותי VCA מחזקת את מעמדנו בתעשייה ומאפשרת לנו לקצר את זמן ההגעה לשוק של לקוחותינו ולספק להם דרך בטוחה להגיע לייצור המוני".

פול דה בוט, מנכ"ל TSMC באזור אירופה, המזרח התיכון ואפריקה, אמר: "אנחנו שמחים לקדם בברכה את אבנט אייסיק ישראל כשותפת VCA של TSMC. הרקורד יוצא הדופן של החברה בטכנולוגיות TSMC וההתמחות שלה בתכנון וייצור במגוון יישומים דוגמת Automotive ו-AI מאפשרים לנו לספק ערך יוצא דופן ללקוחותינו ולהרחיב את השוק שלנו". פאבל וילק, ,GM Head of Engineering באבנט אייסיק ישראל: "ביצענו פרויקטי תכנון שהצליחו כבר בפעם הראשונה בכל טכנולוגיות הייצור, עד לרמות של 4 ננומטר. המהלך מחזק את ההיצע שלנו בתחומים כמו AI, רכב, מחשוב עתיר ביצועים, דאטה סנטרים ועוד".

חברת אבנט אייסיק ישראל היא חטיבה של Avnet Silica הנמצאת בבעלות חברת Avnet, שהיא ספקית פתרונות טכנולוגיים עבור תכנון, ייצור ושרשרת אספקה, כולל הפצת רכיבים אלקטרוניים. ברבעון השני של שנת הכספים שהסתיים בדצמבר 2023, הסתכמו המכירות של אבנט העולמית בכ-6.2 מיליארד דולר. המגזר המרכזי בפעילותה הוא של הפצת הרכיבים, שהיה אחראי למכירות של כ-5.8 מיליארד דולר.

Pulsenmore תייצר מיליון אבזרי אולטרא סאונד ניידים בשנה

בתמונה למעלה: מנכ"ל ומייסד פלסאנמור, ד"ר אלעזר זוננשיין, לצד מערכת אולטרא-סאונד ניידת. צילום: טל שחר.

חברת פלסאנמור (Pulsenmore) מעומר שליד באר-שבע, מתכננת להקים קו ייצור המוני בישראל, אשר יאפשר לה לייצר כמיליון מכשירי אולטרסאונד ביתיים לבדיקת נשים בהריון, בשנה. מנכ"ל ומייסד החברה, ד"ר אליעזר זוננשין, סיפר ל-Techtime שקו הייצור יכיל מערכות ייצור אוטומטיות שפותחו על-ידי החברה, המאפשרות לייצר מקורות אולטרא-סאונד בתמחיר המותאם למוצר צרכני, וכמעט בלא מגע יד אדם.

פלסאנמור הוקמה בשנת 2014 על-ידי המנכ"ל ד"ר אלעזר זוננשיין, לשעבר מנהל תחום המכשור הרפואי של סוני באירופה ומי שפיתח את המצלמה הזעירה של חברת מדיגוס מעומר.  החברה פיתחה מכשיר אולטראסאונד נייד וזעיר המבוסס על חיישן פיאזו אלקטרי ושבב ASIC עצמי, אשר מאפשר לכל אישה לבצע בדיקת אולטראסאונד בביתה, ולשלוח את התמונות אל הרופא באמצעות הסמארטפון (עם מערכת הפעלה iOS של אפל), לצורך פיענוח, מעקב וטיפול.

היפנים התגייסו לפרוייקט

בניגוד לחברות סטארט-אפ רבות המתבססות על שרשרת אספקה מורכבת של קבלני משנה, חברת פלסאנמור נערכה מיומה הראשון לעבודה במתכונת של חברה אנכית, במטרה להגיע בסוף תהליך הפיתוח לשלב שבו היא מבצעת בעצמה גם את הייצור והשיווק. זוננשיין: "הרעיון הראשוני שהיה שצריך לחבר את האולטראסאונד אל הענן, ולכן החלטנו להתבסס על הטלפון הסלולרי – כי הוא נמצא בכמעט כל בית בעולם".

המכשול השני היה מחיר הרכיבים האלקטרוניים: יצרני השבבים הגדולים סיפקו מעבדים המסוגלים לשמש כשבב ה-SoC המרכזי של המכשיר, אולם הם דרשו מאות דולרים עבור כל יחידה, בשעה שהצורך של פלסאנמור היה לקבל שבב במחיר של עד 30 דולר ליחידה. זוננשיין: "פניתי אל מי שהיה הבוס שלי לשעבר בחברת סוני, והוא הפגיש אותי עם מספר יצרני שבבים מיפן. חברת סייקו החליטה לעזור, וביחד פיתחנו שבב ASIC מרכזי שהם החליטו לייצר עבורנו, ולפני כשלושה חודשים הוא נכנס לייצור סדרתי.

ייצור עצמי של מתמרים

"בשלב הבא התמודדנו עם בעיית המתמר הפיאזו-אלקטרי. זהו קושי מיוחד במינו: המתמר הוא גם משדר (ממיר מתח לזעזועים) וגם מקלט (ממיר זעזועים למתח), ובמקרה שלנו מכיל 64 אלמנטים לשידור וקליטה. מייצרים אותו ידנית וקיבולת הייצור העולמית היא מצומצמת מאוד. נהוג לייצר את המתמרים בשתי שיטות עיקריות: בשיטה אחת מגדלים גביש בתנור במשך 6 שבועות, ואז חותכים את הגביש הגדול לאלמנטים זהים. זהו תהליך ארוך ויקר.

בשיטה השנייה משתמשים בחומרים מרוכבים, אשר נותנים רזולוציה טובה מאוד, אבל העלות גבוהה מאוד. מכיוון שהבדיקה שלנו מיועדת לגלות אובייקטים גדולים בסדר גודל של סנטימטרים, אנחנו יכולים להשתמש בחומרים זולים שבהם האלמנטים לא חייבים להיות זהים. פיתחנו קו ייצור הכולל תנור שבו אנחנו מגדלים את הגבישים, מכונת חיתוך, מערכות רובוטיות ומלחמי פלסמה".

מהפיכה בחדרי המיון

חברת פלסאנמור נמצאת בתהליך שינוי מחברת מו"פ לחברת ייצור. בשלביה הראשונים היא קיבלה סיוע צמוד מהמדינה. זוננשיין: "רשות החדשנות ומשרד התעשייה והכלכלה הבינו את הרעיון שלנו ותמכו בנו לאורך הדרך. אנחנו אסירי תודה להם. כעת אנחנו מפתחים את תשתיות הייצור מתוך מטרה להגיע עד לשנת 2027 להיקף ייצור של כמיליון מערכות  ניידות, כולל ייצור הפלסטיקה".

כיום מעסיקה פלסאנמור כ-80 עובדים. היא גייסה בבורסה בתל אביב כ-50 מיליון דולר ונסחרת בה לפי שווי שוק של כ-380 מיליון שקל. לחברה כבר יש הסכמי הפצה, כולל הסכם שיווק עם GE העולמית, אשר מחזיקה בכ-7% ממניותיה. שוק היעד שלה גדול מאוד: "בעולם יש כיום כ-200 מיליון לידות בשנה, כאשר 20% מהביקורים בחדרי המיון הם של נשים בהריון אשר לא מרגישות תזוזה של התינוק. המסקנה היא שהפתרון שלנו יוריד משמעותית את העומסים בחדרי המיון בעולם".

אינטל הכריזה על eASIC מותאם לדור החמישי

חברת אינטל (Intel) הכריזה על גרסה חדשה של טכנולוגיית ייצור השבבים eASIC, אשר הותאמה לייצור מערכות על-גבי שבב (SoC) עבור יישומי הדור החמישי ובינה מלאכותית. טכנולוגיית הייצור החדשה, eASIC N5X, מאפשרת לשלב בין טכנולוגיות ייצור שבבים לבין רכיבי FPGA, ומיועדת להקל על הייצור של רכיבים ייעודיים, אשר במקור תוכננו להיות מיושמים באמצעות רכיבי FPGA. טכנולוגיית eASIC של אינטל היא סוג של טכנולוגיית ייצור מסוג Structured ASIC הנמצאת בתווך בין תכנון ASIC ייעודי לבין שימוש ברכיבים מיתכנתים מסוג FPGA.

שלב ביניים בין ASIC לבין FPGA

תכנון וייצור מעגל ייעודי (ASIC) נחשב יעיל מאוד, אולם יקר לביצוע ודורש תכנון של כל המרכיבים: מרמת השער הלוגי ועד מסיכות הייצור. רכיבים מיתכנתים מסוג FPGA מאפשרים פיתוח וייצור מהירים, אולם עלותם גבוהה וצריכת ההספק שלהם גדולה, מכיוון שהם מבוססים על יחידות לוגיות אחידות שתיפקודן מוגדר באמצעות תוכנה. הדבר דורש תשתית היקפית רבה מסביב לאלמנטים הלוגיים. בגישת גישת Structured ASIC, הלקוח מקבל פרוסת סיליקון הכוללת מרכיבים פנימיים מוכנים, כמו טרנזיסטורים, רגיסטרים וכדומה. התכנון עצמו נעשה ברמת שכבת מוליכי המתכת המגדירה מה יהיו החיבורים החשמליים בין מרכיבי המעגל.

כלומר, הקישוריות בטכנולוגיית Structured ASIC מתבצעת בחומרה ולא בתוכנה כמו ב-FPGA. בהשוואה ל-ASIC סטנדרטי, המפתח כאן עוסק במספר קטן של שכבות הולכה (מסיכות) מתכתיות, ולא צריך לדאוג לייצור כל שכבות הסיליקון שבשבב הסופי. הדבר מאיץ ומוזיל את התכנון בהשוואה ל-ASIC, ומייעל את הביצועים בהשוואה ל-FPGA. הייחוד של משפחת eASIC N5X הוא בכך שהיא תוכננה מבסיסה לספק מסלול הגירה משימוש בטכנולוגיית FPGA אל ייצור של רכיבים ייעודיים.

אפילו המארז תואם ל-FPGA

כדי להשיג את המטרה הזאת, אינטל שילבה במשפחה החדשה מודול חומרה הכולל את המעבד (ARM מרובע ליבות 64 סיביות) המצוי ברכיבי ה-FPGA ממשפחת Agilex. המעבד מפשט מאוד את תהליך העברת התכנון מטכנולוגיה אחת לשנייה ומבטיח תאימות של הרכיבים לדרישות הביצועים והאבטחה של מערכות הדור החמישי. אינטל אפילו מאפשרת לייצר את הרכיב במארז תואם בדיוק לזה של הרכיב המיתכנת שממנו הובא התכנון, כדי לאפשר מעבר לרכיבים חדשים בלא צורך לבצע שינויים בלוח המודפס.

משפחת N5X כוללת מודולים נוספים מוכנים מראש שניתן לשבץ ברכיב, כמו למשל ממשקים למגוון פרוטוקולי תקשורת בקצבי העברת נתונים של 250MHz-32.44Gbps, תמיכה בתקשורת מהירה אל זיכרונות DDR4 במהירויות של עד 3200Mbps וחבילת תוכנה המאפשרת המרה מהירה של קבצים בין הטכנולוגיות. להערכת אינטל, משפחת eASIC N5X מאפשרת להפחית בכ-50% גם את העלות וגם את צריכת ההספק של הרכיבים, בהשוואה לשימוש בטכנולוגיית FPGA.

N5X מעניקה רוח גבית לרכיבים המיתכנתים של אינטל

מאחורי ההכרזה האחרונה מסתתרות מגמות שוק ואסטרטגיה עסקית מעניינים. האחד, הוא הגדלת היקף הפעילות של אינטל בתחום ייצור השבבים של חברות אחרות. בראיון ל-Techtime שהתקיים בדצמבר 2019, סיפר סגן נשיא לאסטרטגיה וחדשנות בקבוצת Programmable Solutions Group של אינטל, וינסנט יו, שאחד מיעדי הקבוצה הוא הוא לחזק את הפעילות של אינטל בתחום מתן שירותי הייצור (Foundry). "אנחנו עובדים קרוב מאוד לקבוצת ה-ASIC של אינטל והטכנולוגיות החדשות יאפשרו להחליף בקלות את ה-FPGA בטכנולוגיית Stuctured ASIC, המקילה על ייצור ASIC, ולהביא עסקאות ייצור לאינטל".

וינסנט יו. השימוש ב-FPGA מאפיין שוק הנמצא בתהליכי שינוי. צילום: Techtime
וינסנט יו. השימוש ב-FPGA מאפיין שוק הנמצא בתהליכי שינוי. צילום: Techtime

הצורך השני קשור להתבססות של מגמות טכנולוגיות חדשות. בשנים האחרונות נכנסו חברות רבות לפיתוח וייצור שבבים ראשוניים עבור מערכות הדור החמישי, מערכות בינה מלאכותית, ופתרונות עיבוד ותקשורת ייעודיים למרכזי נתונים. הדבר בא לידי ביטוי בעלייה בהיקף השימוש ברכיבים מתכנתים. "כאשר שוק נמצא בתהליכי שינוי, מכירות ה-FPGA צומחות", הסביר וינסנט יו. אולם כעת, כשמערכות הדור החמישי נכנסות לפריסה רחבה, כשהבינה המלאכותית הופכת לחלק בלתי נפרד ממוצרי האלקטרוניקה וכשמרכזי הנתונים מצטיידים בכמויות גוברות של מעבדים – יש יתרון לייצור המוני.

המרכיב השלישי באסטרטגיה קשור ככל הנראה לקבוצת הרכיבים המיתכתנים (PSG) של אינטל (לשעבר חברת אלטרה). מסלול הגירה מובנה של רכיבים מיתכנתים אל הייצור ההמוני מגדיל את האטרקטיביות של השימוש ברכיבים המיתכנתים של אינטל עצמה, מכיוון שהוא מעניק לחברות ביטחון שיוכלו לבצע בנוחיות את המעבר מאבות טיפוס או מוצרים יוצאי דופן – אל שווקים גדולים ורגישים למחיר. כלומר, משפחת eASIC N5X נועדה לספק רוח גבית חזקה מאוד למאמצי השיווק של קבוצת ה-PSG באינטל.

הבינה המלאכותית דוחפת את שוק ה-ASIC לצמיחה של 8.6%

שוק הרכיבים הייעודיים (Application Specific IC – ASIC) ייהנה ממגמת הצמיחה בשוק רכיבי הבינה המלאכותית, וצפוי לצמוח בקצב שנתי של 8.6% ולהגיע להיקף של כ-28 מיליארד דולר, בהשוואה להקיף מכירות של כ-14.9 מיליארד דולר בשנת 2018. כך מעריכה חברת Allied Market Research בסקר שוק שפורסם לפני כשבוע. החברה סבורה שהצמיחה היתה יכולה להיות גדולה יותר, אולם היא נבלמת עקב מחסור בכוח אדם מקצועי הדרוש לתכנון רכיבי ASIC חדשים.

מגזר semi-custom ASIC שבו הטרנזיסטורים מוכנים מראש והייצור נעשה באמצעות התאמת שכבות מגעים בלבד ביניהם, היתה אחראית לכשתי-חמישיות מהמכירות בשנת 2018. הקטגוריה הזאת כוללת גם את טכנולוגיות Structured ASIC, שבהן הרכיב כולל מודולי IP ותאים לוגיים מוכנים מראש, והלקוח מפתח רק את המסיכות האחראיות לקישוריות ביניהם. היא נחשבת לתחום ביניים בין ASIC טהור לבין רכיב מיתכנת מסוג FPGA.

בסך הכל, מכירות ה-semi-custom ASIC צפויות לצמוח בחמש השנים הבאות בקצב שנתי של כ-12%, הודות לאימוץ נרחב שלה בייצור מעבדי אותות, רכיבים המבצעים פעולות עיבוד כלליות ויישומי זמן אמת. מעניין לציין שהחברה מתייחסת אל שוק ה-FPGA (רכיבים מיתכנתים) כאל חלק בלתי נפרד משוק ה-ASIC ומכנה אותו בשם programmable ASIC.

בחלוקה לפי יישומים, מערכות עיבוד נתונים תפסו כרבע משוק ה-ASIC העולמי בשנת 2018, בזכות האימוץ הגובר של רכיבי ASIC לביצוע יישומים במרכזי נתונים , במערכות ובמתגי תקשורת, בתחנות בסיס סלולריות ובמערכות אלחוטיות מסוגים שונים. אולם במבט לעתיד, שוק מוצרי הצריכה צפוי להיות השוק בעל הצמיחה המהירה ביותר, של 13.2% בשנה. זאת בעקבות החדירה הגוברת של רכיבי ASIC למוצרי צריכה כמו טלוויזיות, מצלמות דיגיטליות, קונסולות משחקים ואפילו מכשירי סמארטפון.

אנליסט חברת ABI Research, ליאן ג'יי סו, מעריך שאחד ממנועי הצמיחה החדשים של שוק ה-ASIC, הוא האימוץ של בינה מלאכותית בתשתיות ענן, אשר שולח את חברות הענן לפתח רכיבי ASIC לצורך ייעול תהליכי ההסקות והתאמת רכיבים לפעולות ייעודיות. "חברות הענן הגדולות גילו שפיתוח עצמי של שבבי AI מעניק להן שילוב טוב יותר של חומרה ותוכנה ויכולת להתאים את השבבים אל סוגים שונים של רשתות בינה מלאכותית.

"חברת גוגל החלה את המהלך הזה בשנת 2017, וכיום חברות רבות הולכות בעקבותיה. באידו פיתחה את שבבי Kunlun, אמזון פיתחה את שבבי Inferentia התומכים בענן של AWS, וחברת וואווי פיתחה שבבי הסקות ב-2018 וכעת היא מפתחת שבבי אימון". לצד המהלך הזה, צריך להזכיר את החשיבות הגוברת של שבבי הסקות שיותקנו במערכות קצה, כמו במצלמות חכמות של העיר החכמה, ובציוד ניטור תעשייתי במסגרת המפעל הממוחשב.

עיסקת eASIC: אינטל נכנסת לתחום ה-Structured ASIC

בתמונה למעלה: דניאל מקנמרה (משמאל) עם מנכ"ל eASIC רוני ואסישטה

חברת אינטל מרחיבה את פעילותה בתחום הרכיבים המיתכנתים, ורוכשת את חברת eASIC מסנטה קלרה, קליפורניה, אשר פיתחה טכנולוגיית ייצור מסוג Structured ASIC הנמצאת בתווך בין תכנון ASIC ייעודי לבין שימוש ברכיבים מיתכנתים מסוג FPGA. מנהל קבוצת הרכיבים המיתכנתים של אינטל (לשעבר חברת אלטרה), דניאל מקנמרה, דיווח על העיסקה בפוסט שהעלה בבלוג של אינטל.

העיסקה מכניסה את אינטל לסוג חדש של טכנולוגיות ייצור שבבים. "בטווח הארוך, אנחנו רואים הזדמנות באפשרות לפתח סוג חדש של רכיבים מיתכנתים, אשר מנצלים את יתרונות של טכנולוגיית Embedded Multi-Die Interconnect Bridge של אינטל כשהיא משולבת בטכנולוגיית ה-FPGA ביחד עם טכנולוגיית structured ASIC במארז יחיד. אנחנו מצפים להשלים את העיסקה ברבעון השלישי של 2018", הוא כתב.

רעיון ישן עם הזדמנות חדשה

היקף העיסקה לא נמסר, אולם יש בה מרכיב ישראלי מעניין. חברת eASIC הוקמה לפני 19 שנים על-ידי הישראלי צבי אור-בך, ששימש גם כמנכ"ל החברה במשך 6 שנים. לאחר שפרש מהחברה הוא הקים את Monolithic 3D המפתחת גם היא טכנולוגיות ייצור חדשות בתחום השבבים. לפני eASIC , ייסד אור-בך את חברת Chip Express, שגם היא פיתחה טכנולוגיית Structured ASIC, אשר נמכרה בהמשך ל-Gigoptix שנירכשה בתחילת 2017 על-ידי IDT.

בתחום ייצור השבבים קיימות שתי גישות מרכזיות: תכנון מעגל ייעודי (ASIC) שהוא יעיל מאוד, אולם יקר לביצוע מכיוון שהוא כולל תכנון של כל המרכיבים, מרמת השער הלוגי וכל מסיכות הייצור. אפשרות אחרת היא שימוש ברכיבים מיתכנתים מסוג FPGA, שבהם הפיתוח מהיר וזול יותר, אולם עלותם גבוהה וצריכת ההספק שלהם מאוד גדולה ביחד ליישום הנדרש, מכיוון שהם מבוססים על יחידות לוגיות אחידות המקושרות באמצעות תוכנה, ולכן מעגל מיתכנת זקוק להרבה מאוד תשתית היקפית מסביבו.

מורידים את המסיכות

גישת Structured ASIC מוכרת בתעשייה כבר יותר מ-20 שנה ומעולם לא הפכה לתפישה מרכזית. בגישה הזאת הלקוח מקבל פרוסת סיליקון הכוללת מרכיבים פנימיים מוכנים, כמו טרנזיסטורים, רגיסטרים ואפילו ממירי ADC/DAC, כאשר התכנון נעשה ברמת שכבת מוליכי המתכת, שבה הלקוח קובע מה יהיו החיבורים החשמליים בין מרכיבי המעגל. בהשוואה לתכנון ב-FPGA, כאן הקישוריות נעשית בחומרה ולא בתוכנה, ובהשוואה ל-ASIC סטנדרטי, המפתח עוסק במספר קטן של שכבות הולכה (מסיכות) מתכתיות, ולא צריך לתכן ולדאוג לייצור כל שכבות הסיליקון בשבב הסופי. הדבר מאיץ ומוזיל את התכנון בהשוואה ל-ASIC, ומייעל את הביצועים בהשוואה ל-FPGA.

מה אינטל מתכננת?

טכנולוגיית EMIB שמקנמרה הזכיר בפוסט, פותחה על-ידי חברת אלטרה כדי לשלב מספר שבבי סיליקון במארז יחיד, בפורמט שקיבל את הכינוי 3D System-in-Package. יכול להיות שהוא רומז על דור חדש של רכיבים, המאפשרים פיתוח מהיר של מערכות גדולות מאוד על-גבי שבב (SoC) הכוללות מספר רב של פיסות Structured ASIC נפרדות, ואפילו שילוב שלהן עם FPGA, במארז יחיד. הטכנולוגיה המתקדמת ביותר של eASIC מיושמת בפלטפורמת Nextreme-3S, בגיאומטריה של 28nm CMOS. היא מאפשרת ליישם מעגלי תקשורת הפועלים בקצב של עד 28Gbps ולייצר שבבים בטכנולוגיית הייצור של TSMC, שהם שווי ערך למעגל ASIC הכולל 18 מיליון רכיבים לוגיים (Cells).

אתגר הדור החמישי מעבר לפינה

חברת אינטל נכנסה לתחום הרכיבים המיתכנתים בשנת 2015 כאשר היא רכשה את חברת Altera תמורת 16.7 מיליארד דולר. עד היום זוהי עיסקת הרכישה הגדולה ביותר בתולדותיה של אינטל. העיסקה הזו נולדה מהצורך לספק תשתיות מיחשוב מסוג חדש לעולם הענן והשרתים, אשר דרשו יכולות עיבוד מהירות שמעבדי CPU סטנדרטיים אינם מסוגלים לספק. כעת נראה שעיסקת eASIC קשורה להתפתחות נוספת בשוק: "טכנולוגיית structured ASIC", כתב מקנמרה, "תאפשר לנו לספק פתרונות ללקוחות הדורשים ביצועים גבוהים בהספק נמוך, כפי שהם נדרשים לעשות בשווקים כמו הדור הסלולרי הרביעי והדור החמישי (5G) ובשוק האינטרנט של הדברים (IoT)".

לכתבות נוספות על חטיבת הרכיבים המיתכנתים של אינטל: Intel FPGA