אינטל נפרדת מחטיבת הרכיבים המיתכנתים

בתמונה למעלה: רכיב מיתכנת של PSG ממשפחת Agilex 

כמעט 8 שנים לאחר שרכשה את חברת אלטרה ׁׂ(Altera) בחודש דצמבר 2015 תמורת 16.7 מיליארד דולר, החליטה אינטל להיפרד ממנה ולהפעיל אותה כחברה בת עצמאית אשר תונפק בבורסה. מייד לאחר רכישת אלטרה, אינטל הקימה על-בסיסה את קבוצת הפתרונות המיתכנתים (PSG), אשר המשיכה לפתח ולייצר את רכיבי ה-FPGA של אלטרה, ופיתחה פתרונות חדשים המשלבים מעבדי CPU, ממשקים היקפיים ומרכיבי FPGA. בתחילה שולבה אלטרה בתוך קבוצת ה-IoT שרק הוקמה, ובהמשך היא הפכה לחלק מקבוצת מרכזי הנתונים ומערכות הבינה המלאכותית (DCAI), אשר אחראית גם על מעבדי Xeon.

אינטל הודיעה שהחברה תפעל במתכונת עצמאית החל מה-1 לינואר 2024. היא תנוהל על-ידי סגנית נשיא ומנהלת חטיבת DCAI, סנדרה ריברה, כאשר מנהל התפעול הראשי יהיה שאנון פאולין, המשמש כיום כמנהל קבוצת PSG. מנכ"ל אינטל, פט גלסינגר, הסביר שהמהלך יעניק ל-PSG עצמאות עסקית שתגדיל את חלקה בשוק ה-FPGA, "ותאפשר לאינטל להתמקד בעסקי הליבה שלנו ובאסטרטגיה ארוכת טווח". אינטל הודיעה שבכוונתה להנפיק את החברה בבורסה בתוך 2-3 שנים. היא גם תבדוק הזדמנויות להכניס אליה משקיעים נוספים, אבל תשמור על מניות רוב, כמו שעשתה עם חברת מובילאיי הישראלית. שתי החברות יעבדו במתכונת של שותפות ארסטרטגית, כאשר הרכיבים של החברה החדשה ייוצרו בחטיבת שירותי הייצור של אינטל (IFS).

חברת אלטרה פיתחה וייצרה רכיבים מיתכנתים (FPGA) מהקצה הגבוה של השוק. המתחרה העיקרית שלה היתה חברת זיילינקס (Xilinx) אשר נרכשה בפברואר 2022 על-ידי חברת AMD. מעניין לציין שעיסקת אלטרה היתה עיסקת הרכש הגדולה ביותר בתולדות אינטל, ועיסקת זיילינקס היתה העיסקה הגדולה ביותר בתעשיית השבבים כולה: AMD רכשה אותה במחיר של כ-50 מיליארד דולר. אינטל ציינה שמחקרי שוק שהיא קיבלה מעריכים ששוק ה-FPGA העולמי צפוי לצמוח בקצב שנתי של כ-9%, ולהגיע להיקף של כ-11.5 מיליארד דולר בשנת 2027, בהשוואה להיקף של כ-8 מיליארד דולר בשנת 2023.

AMD רכשה חברת AI המתמחה ב-FPGA

בתמונה למעלה: מנהל AMD AI Group, ויקטור פנג

חברת AMD רכשה את חברת התוכנה הצרפתית Mipsology ומצרפת את כל עובדיה אל חטיבת AMD AI Group. החברה לא דיווחה על העיסקה או על היקפה. המידע התפרסם בפוסט של סגן נשיא בכיר בקבוצת ה-AI, ואסני בופאמה, באתר של AMD. החברה הוקמה בשנת 2015 במטרה לפתח מאיצי בינה מלאכותית עבור יישום ברכיבי FPGA של חברת Xilinx. היא פיתחה את פלטפורמת Zebra להרצת הסקות באמצעות ממשקים אשר מסתירים את תשתית חומרת ה-FPGA, שאיננה מוכרת לרוב אנשי התוכנה. המערכת גם מאפשרת לייבא אל ה-FPGA מודלי בינה מלאכותית שנכתבו במקור עבור מעבדי CPU/GPU.

בחודש פברואר 2022 רכשה AMD את חברת Xilinx, ומאז עובדת Mipsology בשיתוף פעולה השוק עם AMD והרחיבה את המערכת לעבודה גם עם מעבדי CPU. התוכנה עובדת עם מערכות ה-AI המובילות בשוק, דוגמת PyTorch, TensorFlow ו-ONNX Runtime. בופאמה מסר שכל אנשי החברה יצטרפו לקבוצת הבינה המלאכותית, ויעסקו בפיתוח מאיצי AI, במסגרת פרוייקט-על מרכזי של החברה: פיתוח מערכת כוללת בשם AMD Unified AI – UAI, אשר מיועדת לספק ממשק אחיד ומלא לכל יישומי אימון והסקה של רשתות נוירוניות, מרמת מערכות הקצה, מחשבי המשתמשים ועד לרמת הענן.

חטיבת AMD AI Group הוקמה בחודש מאי 2023 במטרה לרכז את כל פעילות הבינה המלאכותית של החברה תחת ניהול של מנכ"ל Xilinx לשעבר, ויקטור פנג. לאחר ההכרזה על הקמת הקבוצה אמרה מנכ"לית AMD, ד"ר ליסה סו: "זהו רגע מרגש. בינה מלאכותית נמצאת בעדיפות הראשונה של אסטרטגיית החברה". בחודש אוקטובר 2022 הכריזה יצרנית המערכות האלקטרוניות היפנית OKI Electric Industry על השלמת פרוייקט שילוב Zebra במערכות העיבוד שהיא מפתחת עבור שרתי וידאו, ועל כוונתה להתקין אותה במוצרים העתידיים שלה.

אינטל השיקה משפחת FPGA עתירת תקשורת

בתמונה למעלה: מנהל קבוצת Analog & Mixed Signals בחברת אינטל, נועם אבני, לצד רכיב Agilex 7

חברת אינטל (Intel) הכריזה על משפחת רכיבי ה-FPGA החדשה Agilex 7, אשר פותחה בחלקה בישראל ומיוצרת מטרניזסטורי SuperFin החדשים של אינטל בתהליך של 10 ננומטר. הרכיבים במשפחה מספקים עד 4 מיליון יחידות לוגיות וכולים מעבדי DSP פנימיים המגיעים לעוצמת עיבוד של עד 38TFLOPS. שוקי היעד המרכזיים של משפחת Agilex 7 הם רשתות אופטיות, מרכזי נתונים, אולפני שידור, מתקנים לבדיקות רפואיות ורשתות 5G.

מרכיב ה-FPGA במשפחת הרכיבים החדשה תוכנן על-ידי הצוות של אלטרה לשעבר, מסנטה קלרה, קליפורניה. הרכיבים כוללים משדרים/מקלטים שפותחו בעיקר בארץ, אשר מספקים קצב העברת נתונים של עד 116Gbps וקישוריות Ethernet מרובת פרוטוקולים בקצב של עד 400Gbps. תשתית התקשורת החזקה של הרכיב כוללת שני מרכיבים מרכזיים: תקשורת איתרנט מהירה מאוד, ותקשורת איתרנט איטית יותר, אולם תואמת לכל הפרוטוקולים הקיימים היום בשוק, כולל בתחנות בסיס 5G.

עשרות ערוצי 400G במקביל

ממשקי התקשורת המהירים מבוססים על טכנולוגיית התקשורת ברק (Barak) אשר פותחה בישראל. מנהל קבוצת Analog & Mixed Signals בחברת אינטל, נועם אבני, סיפר ל-Techtime שהמשדרים והמקלטים (מקמ"שים) משולבים ברכיבים במתכונת של אריחי סיליקון נפרדים (F-Tile) המחוברים בערוץ תקשורת פנימי אל רכיב הליבה של ה-FPGA. אבני: "פיתחנו שני מודולי תקשורת מרכזיים. הראשון הוא Ethernet PHY המאפשר לספק מהירות תקשורת של עד 116Gbps. ברכיב הותקנו 16 ערוצים כאלה, ולכן הוא מסוגל להגיע למהירות תקשורת של עד כ-1.6Tbps.

"בנוסף, שילבנו ברכיב גם מודולי תקשורת איטיים יותר, המגיעים לקצב של עד 58Gbps, אולם הם תואמים כמעט לכל פרוטוקולי התקשורת שיש בעולם. כאשר מספקים רכיב FPGA ללקוח, לא תמיד יודעים מה יהיו צורכי התקשורת שלו, ולכן אנחנו מעניקים לו את כל האפשרויות. ניתן לקשר 8 ערוצים כאלה ולקבל תקשורת איתרנט בקצב של עד 400G. בפועל, יש הרבה מאוד ערוצים כאלה בתוך רכיבי Agilex 7, והלקוח יכול להפעיל עשרות ערוצי 400G במקביל".

קבוצת Analog & Mixed Signals אחראית לפיתוח כל פתרונות התקשורת של אינטל הקבוצה מונה כיום כ-600 עובדים בעולם, מתוכם כ-250 עובדים בישראל. הקושי המרכזי בפיתוח ממשקי התקשורת המהירים היה הצורך לסנן רעשים בתדר גבוה מאוד, מבלי לפגוע בצריכת ההספק של המקלט. החברה התגברה על הקושי באמצעות טכנולוגיית "ברק", אשר נחשפה לראשונה לפני כשנה.

ממיר ADC מסנן רעשים

ליבת הטכנולוגיה היא פיתוח ממיר ADC מהיר המבוסס על עקרון קלאסי: Successive-approximation ADC, המכונה גם SAR. הממיר דוגם את האות האנלוגי בקצב של עד 14G Samples per second וכולל גם אלגוריתמיקה ייחודית ומהירה מאוד, שחלקה מיושם בתוך החומרה וחלקה מיושם באמצעות תוכנה. "במעבדה יש לנו כבר את הדור הבא, שיעבוד במהירויות של 200G".

ממשק "ברק" נחשב לסיליקון הראשון בעולם שהצליח להגיע לתדרים האלה. הוא פותח על-ידי קבוצות אינטל בחיפה ובירושלים בהובלת אלון מייזלר. הממשק המרובה-פרוטוקולים (המכונה UX) פותח במשותף על-ידי הקבוצה בטורונטו שתכננה את המעגלים האנלוגיים, והקבוצה בירושלים שפיתחה את יחידת עיבוד האותות (DSP) המנהלת אותו ואת והאלגוריתמים שלו, בהובלת איתי גור.

סופית: AMD רכשה את חברת Xilinx

בתמונה למעלה: ד"ר ליסה סו, מנכ"לית, נשיאה, ומעכשיו גם יו"ר חברת AMD

חברת AMD הודיעה היום (ב') על השלמת עסקת הרכישה של חברת Xilinx. מדובר באחת מעסקות המיזוג הגדולות ביותר בתעשיית השבבים, אשר הוכרזה באוקטובר 2020, והמתינה עד היום לקבלת כל האישורים הרגולטוריים. במקור, מדובר היה בעסקת מניות בשווי של כ-35 מיליארד דולר, אולם בעקבות העליות במניית AMD בשנה האחרונה, מגיע היקף העיסקה לכ-50 מיליארד דולר – סכום שעושה אותה לעסקת השבבים הגדולה ביותר בעולם.

חברת AMD קיבלה לידיה את כל העובדים והנכסים של חברת Xilinx. בעלי המניות של זיילינקס מקבלים 1.72 מניות AMD עבור כל מניה של Xilinx. חברת זיילינקס הפסיקה להיסחר בבורסה והפכה לחטיבת ה-FPGA והמחשוב המסתגל של AMD. החטיבה החדשה תיקרא Adaptive and Embedded Computing Group – AECG, ותנוהל על-ידי ויקטור פנג, אשר שימש כמנכ"ל זיילינקס. בין השאר, תפקידו יהיה להגדיר מפת דרכים עבור מוצרים חדשים אשר משלבים בין הפתרונות של זיילינקס ובין מעבדי ה-CPU וה-GPU של AMD. תקציב המו"פ המשותף של שתי החברות יסתכם בכ-3.8 מיליארד דולר.

שוק יעד בהיקף של 135 מיליארד דולר

נשיאת ומנכ"לית AMD, ליסה סו (אשר נבחרה השבוע גם לתפקיד יו"ר החברה), אמרה ששילוב מוצרי זיילינקס – רכיבי FPGA, מעבדים מסתגלים (adaptive SoCs),מנועי בינה מלאכותית ותוכנה – יאפשרו ל-AMD להגדיל את משקלה בשוק יעד של תשתיות ענן, מחשוב קצה ואבזרים חכמים – שהיקפו נאמד בכ-135 מיליארד דולר ב-2023.

מהמצגת למשקיעים ש-AMD פירסמה בעקבות השלמת העיסקה מתברר שהיא מצפה להרוויח מהמיזוג בשלושה שווקים מרכזיים: בשוק מרכזי הנתונים המיזוג יאפשר לה לספק מתאמי תקשורת חכמים (SmartNIC), אשר ייסייעו לחזק את משפחת מעבדי השרתים AMD EPYC, הוא יסייע להביא את מעבדי AMD אל שוק המערכות המשובצות, שהוא השוק החזק ביותר של זיילינקס, ואולי הגורם החשוב ביותר שהביא למיזוג הוא פתיחת שוק התקשורת בפני AMD. לחברת זיילינקס יש קשרים הדוקים מאוד עם יצרניות ציוד תקשורת קווי ואלחוטי.

AMD צועדת בעקבות אינטל ואנבידיה

הקשרים האלה, ביחד עם המוצרים המשולבים, יכניסו את AMD לשוק שמבחינתה כמעט ולא היה קיים עד היום. במהלך הזה מיישרת AMD קו עם המתחרות הגדולות ביותר בתחום המחשוב: אינטל ואנבידיה, אשר מנהלות זמן רב אסטרטגיה של גישה רב-תחומית: שילוב מעבדי CPU, עם מעבדי עזר דוגמת GPU ועם פתרונות תקשורת חכמים למרכזי הנתונים. אלה היו השיקולים של אינטל כאשר היא רכשה בשנת 2015 את חברת ה-FPGA אלטרה (המתחרה הגדולה שלזיילינקס), ואלה היו השיקולים של אנבידיה כאשר היא רכשה בשנת 2-2- את חברת מלאנוקס הישראלית.

חברת AMD נמצאת בתנופה גדולה, ולפני שבועיים היא דיווחה שבשנת 2021 היא השיגה תוצאות שיא: מכירות הרבעון הרביעי צמחו ב-49% והסתכמו בכ-4.8 מיליארד דולר. החברה פרסמה תחזית צמיחה גם לשנת 2022. מכירות בהיקף של כ-5 מיליארד דולר ברבעון הראשון של השנה (צמיחה של 45% ביחס לרבעון המקביל), ומכירות שנתיות בהיקף של כ-21.5 מיליארד דולר – צמיחה של 31% בהשוואה לשנת 2021. ליסה סו רמזה שלהערכתה חלק גדול מהצמיחה יבוא על חשבון אינטל: "שוק ה-PC צפוי להיות שטוח ב-2022, אבל תחזית הצמיחה מבוססת על ההערכה שנוכל להגדיל את נתח השוק שלנו”.

Renesas נכנסת לשוק ה-FPGA

ספקית גלובלית חדשה נכנסת לתחום הרכיבים המיתכנתים (Field-Programmable Gate Array): יצרנית השבבים היפנית רנסאס (Renesas) הכריזה בסוף השבוע שהיא נכנסת לשוק הזה באמצעות משפחת רכיבי ForgeFPGA, אשר תתחרה בפלח השוק של רכיבים דלי-ספק וזולים מאוד. למעשה, החברה הגדירה יעד מחיר של 0.5 דולר ליחידה בהזמנות המוניות, כדי להכניס אותו לשימוש ביישומים רגישים למחיר כמו אבזרי IoT, ומוצרים שעד היום לא השתמשו בטכנולוגיות מיתכנתות בגלל מחירן.

כלי הפיתוח יינתנו בחינם וללא תנאים מגבילים

מכאן שהוא צפוי להתחרות ברכיבים קיימים שאינם מבוססי FPGA. הרכיבים הראשונים שייצאו לשוק כוללים 1,00LUT-2,000LUT, ובעלי צריכת זרם המתנה (Standby) נמוך מ-20 מיקרו-אמפר. במקביל, רנסאס תספק את תוכנת הפיתוח בחינם וללא דרישות רישוי כלשהן. התוכנה מספקת שני ממשקים שונים לפיתוח: ממשק גרפי בשם macrocell mode המיועד לאנשים שרק מתחילים את דרכם בתחום הרכיבים המיתכנתים, וממשק מבוסס HDL למפתחים בעלי רקע ונסיון אשר רגילים לעבוד ב-Verilog.

למעשה, מדובר במהלך צפוי. המשפחה החדשה פותחה על-ידי הצוות שפיתח את רכיבי משפחת רכיבי האותות המעורבים המיתכנתים GreenPAK של חברת Silego מעמק הסיליקון, ותתבסס על התשתיות של GreenPAK. מדובר ברכיב קטן ופשוט, אשר יכול להכיל מספר מוגבל מאוד של פונקציות אנלוגיות ודיגיטליות, שהלקוח יכול להתאים לצרכיו. זה היה רכיב מיתכנת שקל מאוד לתכנת אותו, אולם הוא מוגבל בביצועים. בשנת 2017 נמכרה Silego ל-Dialog Semiconductor הבריטית-אמריקאית, ובחודש פברואר 2021 רכשה רנסאס את דיאלוג תמורת כ-5.9 מיליארד דולר.

מסע הרכישות של רנסאס הגיע לישראל

עיסקת דיאלוג נועדה לחזק את מעמדה של רנסאס בתחומים צומחים כמו IoT, מערכות רפואיות, מחשוב ביתי, מערכות תעשייתיות ושוק הרכב. היא הושלמה לפני חודשיים. רנסאס הודיעה שהיא תפתח סדרה של פתרונות שבהם מופיעים הרכיבים המיתכנתים החדשים ביחד עם רכיבים משלימים מתוצרתה, כמו מיקרו-בקרים, רכיבים אנלוגיים, רכיבי הספק ועוד. התוכנה והדוגמאות ההנדסיות הראשונות זמינים כבר כעת. הייצור ההמוני של הרכיבים הראשונים (1K LUT) מתוכנן לרבעון השני 2022.

חברת רנסאס היא מיצרניות השבבים הגדולות בעולם. היא הוקמה בשנת 2002 באמצעות מיזוג חטיבות השבבים של NEC, היטאצ'י, ומיצובישי, ומעסיקה כיום כ-20,000 עובדים. בשנים האחרונות היא ביצעה מספר עסקאות רכש גדולות כדי לרענן את קווי המוצר שלה. בנוסף לדיאלוג, בשנת 2019 היא רכשה את יצרנית השבבים הקליפורנית IDT, תמורת 6.7 מילארד דולר.

לפני קצת יותר מחודש (אוקטובר 2021) חתמה רנסאס על הסכם לרכישת חברת סלנו מרעננה (Celeno) תמורת 315 מיליון דולר במזומן. העיסקה מרחיבה את פורטפוליו הקישוריות של Renesas לתחום פתרונות ה-Wi-Fi. העיסקה אושרה על-ידי הדירקטוריונים של שתי החברות, וצפויה להסתיים עד סוף 2021.

זיילינקס הכריזה על רכיבי בינה מלאכותית באבזרי קצה

חברת זיילינקס (Xilinx) הכריזה על משפחת רכיבי Versal AI Edge, שהיא  התוספת האחרונה למשפחת Versal ACAP, השייכים לקטגוריה שזיילינקס מכנה בשם מיחשוב מסתגל (Adaptive SoC). הרכיבים החדשים מיועדים להתמודד עם הצורך ליישם פונקציות מורכבות של בינה מלאכותית ולימוד מכונה באבזרי הקצה ובלא תלות במשאבי הענן. מנהל קו מוצרי Versal AI Edge בחברת זיילינקס, ריהאן טאהיר, אמר שהשוק חווה צמיחה גדולה מאוד בדרישה לאבזרי הקצה אשר יכולים לקבל החלטות באופן עצמאי. "עיבוד באבזרי הקצה נותן מענה לחולשות של הענן, ובמיוחד לבעיית זמני ההשהייה הארוכים (Latency)".

הרכיבים מיוצרים בטכנולוגיה של 7 ננומטר ומיועדים בעיקר לשוקי הרכב, מכשור רפואי, רובוטיקה, מצלמות מעקב, רחפנים וכדומה. הם ייצאו לשוק בשנה הבא עם הסמכת תעשיית הרכב, ISO26262, הסמכת IEC 61508 תעשייתית והסמכת DO-254/178 תעופתית. להערכת זיילינקס הביצועים של הרכיב טובים פי ארבעה בהשוואה לביצועי ה-GPU של חברת אנבידיה (Jetson Xavier). הדבר מושג באמצעות שימוש במערך של 16-32 מנועי בינה מלאכותית שלכל אחד מהם מוצמד זיכרון RAM מקומי בנפח של 32MB המאפשר שמירת מידע בזיכרון שלא צורך בהעברות אל ה-DDR או בקריאתו מהם.

רכיב Versal AI Edge בתצורת ניהול כלי-טיס בלתי מאויישים
רכיב Versal AI Edge בתצורת ניהול כלי-טיס בלתי מאויישים

טאהיר אמר שהרכיב מאפשר לממש פונקציות של נהיגה אוטונומית במחצית משטח הסיליקון הדרוש כיום. בין השאר הוא מאפשר לבצע שינויים מהירים בחומרה (מספר מילי-שניות), כולל שינויים במתכונת של עדכון מרחוק (OTA), באמצעות מודול ה-FPGA שאחראי על יישום פונקציות Domain Specific Architecture, המאפשרות להתאים את הפלטפורמה ליישומים ספציפיים. חברת זיילינקס הכריזה על זמינות מלאה של התיעוד. היא תספק כלי פיתוח ראשונים במחצית השנייה של 2021. הייצור של דוגמאות סיליקון צפוי להתחיל במחצית הראשונה של 2022, כאשר ערכות הפיתוח וההערכה המלאות ייצאו לשוק במחצית השנייה של 2022.

וובינר Enclustra בנושא פתרונות SoM מבוססי FPGA

חברת IC-SHINE GLOBAL מהרצליה המייצגת בישראל את חברת Enclustra השווייצרית, תקיים וובינר ללקוחות בישראל שיתמקד בפתרונות System on Module מבוססי FPGA שאותן מספקת חברת Enclustra.

הוובינר יתקיים ביום ד', ה-9 ליוני 2021 בשעה 10:00 לפי שעון ישראל, ויימשך שעה אחת בלבד. הוא ייערך בשיתוף חברת Mulberry1 הישראלית, שהיא בית תכנון ותיק בתחומי החומרה, הקושחה והתוכנה. פתרונות SoM מבוססי FPGA מאפשרים כניסה מהירה לשוק והתאמה של המערכת לדרישות הלקוח.

המגוון הגדול של פתרונות SoM מעניק ללקוחות יכולת לאתר להתאים את הפתרון המתאים ביותר לצרכים המיוחדים שלהם. במהלך הוובינר תציג חברת מלברי1 מוצרים חדשים של חברת Enclustra, לצד פרוייקטים מעשיים שבהם נעשה שימוש בפתרונות SoM עבור יישומים שונים בתחומים תעשיתייים מגוונים, כמו התעשייה הצבאית, התעשייה הרפואית ועוד.

הוובינר מיועד למהנדסים, מנהלי צוותים ואנשי פיתוח. השתתפות בוובינר היא בחינם, וכרוכה ברישום מראש.

למידע נוסף ורישום: IC-SHINE GLOBAL

פרטי קשר:

שלומי שיינמן, IC-SHINE GLOBAL, מייל: [email protected]

רוברטו שילטון, Mulberry1, מייל: [email protected]

וובינר סינופסיס לאימות SoC באמצעות FPGA וכלי ניתוח

ביום ג', ה-18 במאי 2021, תקיים חברת סינופסיס (Synopsys) וובינר בתחום האצת הבדיקה של אבות טיפוס של רכיבי SoC באמצעות FPGA כלי ניתוח ייעודיים הבודקים את התכנון. הוובינר, Enabling Faster Time to First Prototype using FPGA Synthesis Tools, יתקיים בשעה 20:00 לפי שעון ישראל.

FPGA prototyping is one of the main verification tools used when designing an SoC. There are many requirements for developing prototypes ranging from handling DesignWare IP to automated gated clock conversion. Synopsys’ ProtoSynthesis Software provides customers with the capability to develop a single FPGA prototype quickly and efficiently, and supports DesignWare IP and Unified Power Format (UPF).

This Synopsys webinar will cover: How to complete a gated clock conversion; Enabling DesignWare IP within an FPGA prototyping project; How to include power management intent in an FPGA prototype.

Speakers: Nilesh Shilankar and Poojitha Bommu

Nilesh Shilankar is Sr. Applications Engineer at Synopsys for FPGA-based synthesis software tools. Prior to joining Synopsys, Nilesh worked with leading semiconductor and EDA companies as a Product Engineer and Applications Engineer. Nilesh holds a bachelor’s degree in Electronics Engineering and has pursued a PG Diploma in VLSI from the Center for Development of Advanced Computing.

Poojitha Bommu is an Application Engineer for FPGA-based synthesis software tools in the Verification Group at Synopsys. She has 4 years of experience on Synopsys FPGA synthesis and prototyping tools. She has worked with many FPGA implementation and prototyping based customers to achieve their design requirements. She holds a BTech degree in Electronics and Communications from Amrita University, Bengaluru, India.

למידע נוסף ורישום:

Faster Time to Prototype using FPGA Synthesis Tools

פשרות הכרחיות בפיתוח מערכות שידור וידאו חי

מאת: רוב גרין, מנהל בכיר תחום Pro AV, Broadcast ו-Consumer בחברת Xilinx

הכתבה בחסות Avnet Silica Israel

השימוש בווידאו זורם (Streaming Video) נמצא בעלייה מתמדת, המתבטאת גם בצמיחת שוק שידורי וידאו חיים באינטרנט הנדחף על-ידי ענקיות כמו יוטיוב ופייסבוק. במקביל צמחה קטגוריית שירותי eSports המייצרת סוג חדש של מקורות שידור וידאו, בהם אלפי קבצים מוזרמים של משחקי מחשב. כל אלה זקוקים לקידוד, פיענוח, המרה בין פורמטים שונים, בין מהירויות העברת מידע מגוונות וברזולוציות שונות. הדבר מצריך לבצע המרות רבות המשמשות כגשר בין האותות הנכנסים ובין האותות היוצאים.

מגמות השוק מכתיבות את הדרישות

במקביל, מתגבר המעבר לרזולוציית וידאו גבוהה מסוג 4K. מסכי 4K כבר מצויים בבתים רבים ומציבים אתגרים מיוחדים בפני שידורים חיים (live IP streaming) עקב מגבלת הזמינות של רוחב פס. אומנם תקן 1080p צפוי להיות פורמט הסטרימינג הנפוץ ביותר, אולם המשקל הגובר של מצלמות וסמארטפונים בעלי יכולות 4K, מחייב לקלוט אותות 4K זורמים ולעבד אותם מחדש כדי לשדר את הסרטון הזה משודר בפורמט שונה, מצומק יותר. ראוי לזכור שהמרת קובץ 4K HEVC לפורמטים השונים דורשת עוצמת עיבוד גדולה פי חמישה בהשוואה להמרת אותות בתקן H.264 (המוכר גם בכינוי MPEG-4 AVC).

ולבסוף, נמשך המאמץ להקטין את זמני ההשהייה הכוללים (end-to-end latency) של שידורים חיים. במקרים רבים ההשהייה מגיעה למשך של דקה שלמה, והדבר דורש להתקין כלים לקיצור זמני ההשהייה בכל אחד ממרכיבי הרשת. ספקי שירותים רבים דורשים תמיכה בריבוי זרמי וידאו ובריבוי טכנולוגיות קידוד. תקן H.264 נפוץ מאוד לצורכי דחיסת אותות, כאשר הדור הבא שלו, H.265, כבר מתחיל להיכנס אל השוק.

כיום המערכות נדרשות להציג יכולת לקודד אותות באחד או בשני התקנים האלה ביחד, ולבצע קידוד סימולטני של האות ברזולוציות ובקצבי העברה שונים. במונחי ביצועים, מדובר במדידת פרמטר בשם SWAP, קיצור של "גודל, משקל וצריכת הספק". שימוש בליבות קידוד ייעודיות ומוקשחות מספק הפחתה דרמטית של מדד ה-SWAP. בנוסף יש צורך בפתרון חכם אשר יודע להסתגל אל הרשת ומאפשר לבצע גם תכנות מחדש לפי דרישה.

הפתרון הטוב ביותר הוא פשרה מוצלחת

כלומר אנחנו מחפשים את הגמישות הנחוצה לתמיכה בהזרמת קבצי וידאו רבים, בפורמטים ובתנאי רשת שונים – ולצרכים שונים. ניקח לדוגמה מקור וידאו המבוסס על מצלמה המתעדת אירוע ספורט בזמן אמת. מהירות העברת המידע במקרה הזה עשויה להיות מוגבלת מאוד, ולכן אפשר להתפשר על איכות התמונה כדי לעמוד בתנאי רוחב הפס הזמין. גם במהלך ועידת וידאו דו-כיוונית, שבה לזמני ההשהייה יש חשיבות קריטית, מבצעים דחיסה חזקה יותר של אותות הווידאו כדי לעמוד בתנאי רוחב הפס הזמין.

התוצאה היא שאין מקודד יחיד המתאים לכל מקרי השימוש (use cases), ואנחנו זקוקים למקודד כל-כך גמיש שהוא יהיה מסוגל לספק מענה למירב הדרישות האפשריות. אומנם ניתן לרכוש פתרונות מוכנים מהמדף במתכונת של רכיבי ASIC או ASSP, אולם הם לא יספקו מענה לדרישות המדוייקות ויהיו להם חסרונות נוספים.

תוכלו למשל לקבל איכות וידאו מעולה, אולם ההשהייה תהיה גדולה מדי. לחלופין, ההשהייה יכולה להיות מדוייקת ואיכות הווידאו מעולה – אבל צריכת ההספק של אבזר הקצה תהיה גבוהה מדי. אנחנו זקוקים לגישה גמישה אשר תאפשר לכוונן את הטיפול בקבצים בהתאם לדרישות היישום ותוך ביצוע של הפשרה הטובה ביותר בין הדרישות המתנגשות.

הצגת Zynq UltraScale+ MPSoC

המעבדים הרב-שימושיים (MPSoC) ממשפחת Zynq UltraScale+ של חברת Xilinx מבוססים על מעבד זמן אמת, פלטפורמה לוגית מיתכננת (FPGA), מעגלים היקפיים וממשקי תקשורת מהירים ומופיעים במספר גרסאות הכוללות מעבד יישומים כפול, מעבד יישומים מרובע ליבות ומעבדי GPU. גרסת EV של המשפחה כוללת מעבד A53 מרובע ליבות של ARM, ומקודד וידאו מוקשח (VCU) המותקן ביחידת הלוגיקה המיתכנתת על-מנת לספק את הגמישות התפקודית הנחוצה.

 

המקודד תומך במספר פרופילי שימוש ומפעיל סדרה של כלי קידוד (כולל כלים המיועדים ל-H.265). הוא תומך בקידוד של עד 32 זרמי וידאו ובפיענוח של עד 32 זרמי וידאו – בו-זמנית. הרכיבים תומכים בתרחישי הזרמת וידאו במהירות קבועה ובמהירות משתנה, וכן בתרחישים רבים נוספים. רכיבי EV מתאימים ליישום UHD 4K ומיועדים לשימוש במערכות מולטימדיה, מערכות ADAS בכלי-רכב, מערכות מעקב ואבטחה וביישומי ראייה משובצים.

יישום וידאו אופייני

המעבד מרובע הליבות (APU) הוא לב הפתרון ומארח את מערך התוכנה (run-time software stack) הכולל את מערכת ההפעלה לינוקס, מערך הניהול, תוכנות המדיה, עיבוד קול ותוכנות נוספות של היישום הספציפי. ממשקים משובצים כמו USB 3.0 ו-SD זמינים לצורך איחסון. הווידאו עובר דרך מודול הלוגיקה המיתכנתת, המארחת את ממשקי ה-I/O של הווידאו, עיבוד וקידוד הווידאו וממשקי איתרנט במידה ויש בהם צורך. השילוב של לוגיקה מיתכנתת ביחד עם מנועי קידוד קשיחים מאפשר למצוא בזמן אמת את הפשרה האופטימלית בין צריכת ההספק, וזמני ההשהייה והתגובה.

מקודדי הווידאו הקשיחים (VCU) מאפשרים ליישם את פרוטוקולי H.264/H.265 בלא לגזול משאבים ממשאבי הלוגיקה המיתכנתת. חברת Xilinx מעריכה שהרכיב מספק את מקודד H.264/H.265 המקצועי המהיר בתעשייה, עם זמני השהייה של 30 מילי-שניות מקצה לקצה. ולמרות שרכיבי FPGA נחשבים כצרכני הספק כבדים, הרכיב החדש מבצע תהליך 4K60 מלא בהספק של כ-8.5W בלבד. הדבר חשוב ביותר עבור יישומים מופעלי סוללה, הפחתת עלויות והתמודדות עם הצורך לפזר חום.

דיאגרמת המלבנים של Zynq UltraScale+ MPSoC בגרסת EV
דיאגרמת המלבנים של Zynq UltraScale+ MPSoC בגרסת EV

זמינות כלי הפיתוח

כדי לפשט את הטיפול במערכת כל-כך מורכבת, מספקת Xilinx כלי פיתוח הניתנים להגדרה ברמת הפשטה גבוהה המרוחקת מהחומרה עצמה. תכנוני הייחוס משתמשים במערכת Petalinux אשר רצה על מעבדי ה-ARM, ולכן השליטה במקודדים ובשאר הפרמטרים ניתנת לביצוע באמצעות פקודות GStreame, הנכתבות בשורת הפקודות או באמצעות ממשק ההתחברות (API) אל היישום הספציפי. בדרך הזאת אפשר לשלוט בכל הפרמטרים, החל מבחירה בסוגי הקבצים (video formats) וכלה בקצב השידור של כל מקודד או במאפייני איכות הווידאו.

לסיכום, וידאו זורם הוא כיום פופולרי יותר מאי פעם, אולם דורש להתגבר על אתגרים טכניים ולבצע פשרות מורכבות בהתאם לכל יישום. מעבד Zynq UltraScale+ MPSoC של חברת Xilinx, הוא אבזר הקידוד האולטימטיבי לווידאו זורם (streaming codec device) ומספק גמישות גדולה מאוד הן ברמת החומרה והן ברמת התוכנה. למידע נוסף, אנא הקליקו על הקישורים למטה.

לפרטים נוספים:

איתמר קהלני, מנהל קו מוצרי Xilinx בחברת אבנט סיליקה, 054-5206287, [email protected]

מקורות מידע:

VCU Reference Designs

On the Xilinx wiki there are many comprehensive reference designs for the VCU that can be used for evaluation and a starting point for development

https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841711/Zynq+UltraScale+MPSoC+VCU+TRD

On Demand Training

Available in the Xilinx customer training portal, learn how to build and run complex multimedia applications targeting the Zynq UltraScale+ MPSoC EV device with the help of the GStreamer framework. There is 16 hours of content and 4 labs to experiment with on the ZCU106 multimedia platform

https://xilinxprod-catalog.netexam.com/Certification/45741/developing-multimedia-solutions-with-the-video-codec-unit-using-the-gstreamer-framework

 

PG252 – H.264/H.265 Video Codec Unit LogiCORE IP Product Guide

A comprehensive documentation of all the VCU features and capabilities, with information on designing with core and application software development

https://www.xilinx.com/support/documentation/ip_documentation/vcu/v1_2/pg252-vcu.pdf

קמיליון ו-Xilinx מפתחות מעבד-עזר מבוסס FPGA לאבטחת שרתים

חברת קמיליון (Kameleon Security) מקיסריה תפתח ביחד עם חברת זיילינקס (Xilinx) מעבד-עזר מסוג חדש אשר יסיר מה-CPU חלק ניכר ממשימות האבטחה המוטלות על השרת במרכזי נתונים, ויגן עליו בשלב האיתחול הנחשב לשלב רגיש וקריטי ביותר, מכיוון שבמהלכו המחשב כבר עובד אולם מערכת ההפעלה עדיין לא נכנסת לתפקוד מלא. החברות מתכננות להטמיע את הטכנולוגיה בתוך מעבדי ה-FPGA של זיילינקס הבנויים בארכיטקטורת MPSoC, ולהוציא אותו לשוק לקראת סוף 2021.

שבב האבטחה מקדים את מערכת ההפעלה

קמיליון הוקמה בשנת 2019 על-ידי המנכ"ל חורחה מיז'נה וקבוצה של יוצאי מיקרוסופט, קואלקום ו-8200. היא וקיבלה השקעות מקרן הסיד State Of Mind Ventures היושבת בהרצליה ומפנחס בוכריס. הפתרון המשותף עם זיילינקס ייצא לשוק תחת השם ProSPU, שהוא קיצור של הביטוי Proactive Security Processing Unit. "הגישה הייחודית של קמיליון מאפשרת להגן על המחשבים באמצעות רכיבי ה-FPGA שלנו גם בשלבי האיתחול וגם לאורך הפעילות השוטפת שלהם", אמר סגI נשיא למכירות בזיילינקס העולמית, סינה סולטאני. "הפתרון הזה מעניק הזדמנויות רבות מאוד בשוק. אנחנו מצפים בקוצר רוח להשקתו".

המנכ"ל חורחה מיז'נה, סיפר ל-Techtime שהטכנולוגיה של החברה תואמת למיפרט Root of Trust העדכני ביותר של קבוצת שיתוף הפעולה התעשייתית, Open Compute Project. מיז'נה: "בכל מעבד קיימת תוכנה אשר שמורה בדרך-כלל בזיכרון פלאש הנמצא על לוח האם. כאשר מפעילים את המחשב מועברת התוכנה הזאת אל המעבד ולאחר מכן הוא מבצע את תהליך האיתחול ומעלה את מערכת ההפעלה. הבעיה היא שצריך לוודא שהקוד המגיע מהפלאש אל המעבד הוא קוד תקין שלא עבר שינוי.

מעבדי אבטחה ייעודיים

"אם יש באג בזכרון הפלאש, המערכת כולה תעלה עם הבאג הזה. ומכיוון שהבאג נכנס למעבד לפני שמערכת ההפעלה עלתה – אין אף מערכת תוכנה שיכולה לאתר אותו". הפתרון של החברה מבוסס על הרעיון של Root of Trust, שאומץ על-ידי OCP. מדובר במעגל חומרה אשר מוודא את תקינות הקוד הראשוני בזכרון הפלאש, פני העברתו למעבד. הוא מוודא שהעלייה תתבצע באמצעות הקוד התקין וכאשר הוא מגלה קוד שהושחת, הוא יודע לשחזר את קד המקור לפני שהמעבד נטען בקוד שגוי.

"ברגע שאנחנו רצים במעבד נפרד והחיבור למערכת ההפעלה נעשה באמצעות חומרה, התוקף לא יכול לקבל מידע על המערכת. מצבו דומה לשודד בנק שלא אסף מודיעין לפני ביצוע השוד". להערכת מיז'נה, מדובר ברעיון החורג הרבה מעבר לעוד מעגל הגנה מקומי: "העולם שבו כל המערכות נוהלו באמצעות מעבדי CPU אחידים הולך ונעלם. התעשייה מאצמצת שימוש במעבדים ייעודיים למטלות שונות, כמו למשל מעבדים ייעודיים למשימות בינה מלאכותית. לדעתנו השלב הבא יהיה מעבדים ייעודיים לאבטחת המחשבים והשרתים".

מהי רמת שיתוף הפעולה עם זיילינקס?

מיז'נה: "אנחנו הולכים להביא ביחד לשוק פתרון עבור ספקיות שירותי ענן ומרכזי נתונים. זהו פתרון המתאים לכל סוגי התשתיות: מרכזי מחשוב, תעשיית הרכב ועוד. כל מערך המכירות של זיילינקס עומד מאחורי המוצר החדש. אנחנו פונים ביחד אל שוק המבין את הצורך בפתרון הזה ומוכן לשלם עבורו".

אינטל הכריזה על eASIC מותאם לדור החמישי

חברת אינטל (Intel) הכריזה על גרסה חדשה של טכנולוגיית ייצור השבבים eASIC, אשר הותאמה לייצור מערכות על-גבי שבב (SoC) עבור יישומי הדור החמישי ובינה מלאכותית. טכנולוגיית הייצור החדשה, eASIC N5X, מאפשרת לשלב בין טכנולוגיות ייצור שבבים לבין רכיבי FPGA, ומיועדת להקל על הייצור של רכיבים ייעודיים, אשר במקור תוכננו להיות מיושמים באמצעות רכיבי FPGA. טכנולוגיית eASIC של אינטל היא סוג של טכנולוגיית ייצור מסוג Structured ASIC הנמצאת בתווך בין תכנון ASIC ייעודי לבין שימוש ברכיבים מיתכנתים מסוג FPGA.

שלב ביניים בין ASIC לבין FPGA

תכנון וייצור מעגל ייעודי (ASIC) נחשב יעיל מאוד, אולם יקר לביצוע ודורש תכנון של כל המרכיבים: מרמת השער הלוגי ועד מסיכות הייצור. רכיבים מיתכנתים מסוג FPGA מאפשרים פיתוח וייצור מהירים, אולם עלותם גבוהה וצריכת ההספק שלהם גדולה, מכיוון שהם מבוססים על יחידות לוגיות אחידות שתיפקודן מוגדר באמצעות תוכנה. הדבר דורש תשתית היקפית רבה מסביב לאלמנטים הלוגיים. בגישת גישת Structured ASIC, הלקוח מקבל פרוסת סיליקון הכוללת מרכיבים פנימיים מוכנים, כמו טרנזיסטורים, רגיסטרים וכדומה. התכנון עצמו נעשה ברמת שכבת מוליכי המתכת המגדירה מה יהיו החיבורים החשמליים בין מרכיבי המעגל.

כלומר, הקישוריות בטכנולוגיית Structured ASIC מתבצעת בחומרה ולא בתוכנה כמו ב-FPGA. בהשוואה ל-ASIC סטנדרטי, המפתח כאן עוסק במספר קטן של שכבות הולכה (מסיכות) מתכתיות, ולא צריך לדאוג לייצור כל שכבות הסיליקון שבשבב הסופי. הדבר מאיץ ומוזיל את התכנון בהשוואה ל-ASIC, ומייעל את הביצועים בהשוואה ל-FPGA. הייחוד של משפחת eASIC N5X הוא בכך שהיא תוכננה מבסיסה לספק מסלול הגירה משימוש בטכנולוגיית FPGA אל ייצור של רכיבים ייעודיים.

אפילו המארז תואם ל-FPGA

כדי להשיג את המטרה הזאת, אינטל שילבה במשפחה החדשה מודול חומרה הכולל את המעבד (ARM מרובע ליבות 64 סיביות) המצוי ברכיבי ה-FPGA ממשפחת Agilex. המעבד מפשט מאוד את תהליך העברת התכנון מטכנולוגיה אחת לשנייה ומבטיח תאימות של הרכיבים לדרישות הביצועים והאבטחה של מערכות הדור החמישי. אינטל אפילו מאפשרת לייצר את הרכיב במארז תואם בדיוק לזה של הרכיב המיתכנת שממנו הובא התכנון, כדי לאפשר מעבר לרכיבים חדשים בלא צורך לבצע שינויים בלוח המודפס.

משפחת N5X כוללת מודולים נוספים מוכנים מראש שניתן לשבץ ברכיב, כמו למשל ממשקים למגוון פרוטוקולי תקשורת בקצבי העברת נתונים של 250MHz-32.44Gbps, תמיכה בתקשורת מהירה אל זיכרונות DDR4 במהירויות של עד 3200Mbps וחבילת תוכנה המאפשרת המרה מהירה של קבצים בין הטכנולוגיות. להערכת אינטל, משפחת eASIC N5X מאפשרת להפחית בכ-50% גם את העלות וגם את צריכת ההספק של הרכיבים, בהשוואה לשימוש בטכנולוגיית FPGA.

N5X מעניקה רוח גבית לרכיבים המיתכנתים של אינטל

מאחורי ההכרזה האחרונה מסתתרות מגמות שוק ואסטרטגיה עסקית מעניינים. האחד, הוא הגדלת היקף הפעילות של אינטל בתחום ייצור השבבים של חברות אחרות. בראיון ל-Techtime שהתקיים בדצמבר 2019, סיפר סגן נשיא לאסטרטגיה וחדשנות בקבוצת Programmable Solutions Group של אינטל, וינסנט יו, שאחד מיעדי הקבוצה הוא הוא לחזק את הפעילות של אינטל בתחום מתן שירותי הייצור (Foundry). "אנחנו עובדים קרוב מאוד לקבוצת ה-ASIC של אינטל והטכנולוגיות החדשות יאפשרו להחליף בקלות את ה-FPGA בטכנולוגיית Stuctured ASIC, המקילה על ייצור ASIC, ולהביא עסקאות ייצור לאינטל".

וינסנט יו. השימוש ב-FPGA מאפיין שוק הנמצא בתהליכי שינוי. צילום: Techtime
וינסנט יו. השימוש ב-FPGA מאפיין שוק הנמצא בתהליכי שינוי. צילום: Techtime

הצורך השני קשור להתבססות של מגמות טכנולוגיות חדשות. בשנים האחרונות נכנסו חברות רבות לפיתוח וייצור שבבים ראשוניים עבור מערכות הדור החמישי, מערכות בינה מלאכותית, ופתרונות עיבוד ותקשורת ייעודיים למרכזי נתונים. הדבר בא לידי ביטוי בעלייה בהיקף השימוש ברכיבים מתכנתים. "כאשר שוק נמצא בתהליכי שינוי, מכירות ה-FPGA צומחות", הסביר וינסנט יו. אולם כעת, כשמערכות הדור החמישי נכנסות לפריסה רחבה, כשהבינה המלאכותית הופכת לחלק בלתי נפרד ממוצרי האלקטרוניקה וכשמרכזי הנתונים מצטיידים בכמויות גוברות של מעבדים – יש יתרון לייצור המוני.

המרכיב השלישי באסטרטגיה קשור ככל הנראה לקבוצת הרכיבים המיתכתנים (PSG) של אינטל (לשעבר חברת אלטרה). מסלול הגירה מובנה של רכיבים מיתכנתים אל הייצור ההמוני מגדיל את האטרקטיביות של השימוש ברכיבים המיתכנתים של אינטל עצמה, מכיוון שהוא מעניק לחברות ביטחון שיוכלו לבצע בנוחיות את המעבר מאבות טיפוס או מוצרים יוצאי דופן – אל שווקים גדולים ורגישים למחיר. כלומר, משפחת eASIC N5X נועדה לספק רוח גבית חזקה מאוד למאמצי השיווק של קבוצת ה-PSG באינטל.

סובארו הטמיעה FPGA של זיילינקס במערכות ADAS החדשות

חברת סובארו התקינה את השבב המיתכנת UltraScale+ MPSoC של חברת זיילינקס (Xilinx) בדור החדש של מערכות עזר בטיחותיות לנהג (ADAS) ממשפחת EyeSight. המערכת החדשה תותקן בשלב הראשון בדגמי ה-SUV של סובארו ממשפחת Levorg. המערכת מספקת יכולות בקרת שיוט, שמירה על נסיעה בנתיב, ובלימת חירום להימנעות מפני תאונות. המערכת של סובארו מבוססת על צילום סטריאופוני המיוצר על-ידי שתי מצלמות בו-זמנית.

מחשב המערכת מנתח את שתי התמונות ומייצר "ענן" תלת-מימדי של נקודות המייצגות את סביבת הרכב. לדברי הטכנולוג הראשי של חברת סובארו, טטסו פוג'ינוקי, אחד מהשיקולים בבחירת ה-MPSoC של זיילינקס היה בעובדה שמדובר ברכיב העומד בכל תקני תעשיית הרכב, "ולכן הוא מקצר עבורנו את מסלול קבלת הסמכת ASIL". המערכות החדשות זמינות ביפן כבר מהחודש הזה (אוגוסט 2020). הרכיב המיתכנת של זיילינקס בנוי בתהליך של 16 ננומטר.

למעשה, סובארו מחליפה רכיב ASIC ייעודי במעבד מיתכנת מהקטגוריה הייחודית של רכיבים מיתכנתים מרובי-מעבדים (MPSoC) של זיילנקס (דיאגרמת המלבנים למטה), המיוצרים בחברת TSMC מטרנזיסטורי FinFET. הם כוללים מעבד 64 סיביות מרובע ליבות מסוג ARM Cortex-A53, מעבד בעל שתי ליבות מסוג ARM Cortex-R5, מודול גרפי מסוג ARM Mali, מודול אבטחה להגנה על הרכב, זיכרונות פנימיים ממשקי, מעבד וידאו תקשורת ומודול מיתכנת (FPGA) גדול מאוד ממשפחת UltraScale.

הרכיבים קיבלו את התיוג XA לאחר שעברו את הסמכת תעשיית הרכב לתקני AEC-Q100 ולשימוש ברכב אוטונומי ברמת ISO26262 ASIL-C. זיילינקס מסרה שהיא עובדת כיום עם כ-200 חברות מתחום תעשיית הרכב (יצרניות וספקיות פתרונות), וסיפקה להן כ-190 מיליון רכיבים מיתכנתים בטכנולוגיות 28/16 ננומטר, בהם כ-75 מיליון רכיבי שהוטמעו במערכות ADAS. בין הלקוחות שלה בתחום: דיימלר, סובארו, היטאצ'י, קונטיננטל, מאגנה ועוד. בשנת 2020 היא תספק להערכתה כ-19.3 מיליון רכיבים מיתכנתים לתעשיית הרכב העולמית.

איסטרוניקס מונתה למפיצה הרשמית בישראל של חברת S2C

חברת איסטרוניקס (Eastronics) מונתה למפיצה הרשמית בישראל של חברת S2C האמריקאית, אשר מספקת פתרונות פיתוח של אבי-טיפוס לרכיבי ASIC ו-SoC המבוססים על רכיבי FPGA של חברת אינטל. החברה הוקמה בשנת 2003 על-ידי קבוצה של ותיקי עמק הסיליקון עם ידע נרחב בתחום אמולציית ASIC, אבי-טיפוס מבוססי  FPGA וטכנולוגיות אימות SoC. החברה מתמקדת במתן פתרונות למימוש מהיר של טכנולוגיות אימות לפיתוח רכיבים.

בין השאר, היא מספקת ערכת פיתוח המבוססת על רכיב Intel Stratix 10, שהוא רכיב FPGA מהגדולים בשוק המכיל  10 מיליון אלמנטים לוגיים. למערכות מבוססת FPGA למימוש מהיר של אבי-טיפוס ערך רב בכל אחד משלבי הפיתוח (design flow). בניגוד ליישום הקונבנציונלי המבוסס על בדיקה תוך-מעגלית (in-circuit testing), הטכנולוגיה הזו כוללת תכנון פונקציונלי, אימות וכלי סימולציה המשפרים את מהירות האימות.

פתרונות החברה כוללים: Rapid FPGA-based prototyping hardware and software, Prototype Ready IP וכלים עבור System-level design verification and acceleration. לקוחותיה כוללים מרכזי פיתוח של יצרניות רכיבים, וחברות טכנולוגיה בתחומי התקשורת, מחשוב, עיבוד תמונה, אחסון נתונים, מחקר, הגנה, חינוך, רכב, שירותי רפואה, ומפתחי קניין רוחני (IP).

למידע נוסף: איסטרוניקס

אינטל מתגברת את אסטרטגיית הבינה המלאכותית

בתמונה למעלה: מעבד Xeon מהדור השלישי (מימין) ורכיב FPGA הכולל מודול בינה מלאכותית

חברת אינטל הכריזה השבוע על סדרה של מוצרים חדשים הכוללים תיגבור של יכולות בינה מלאכותית, אשר הופכת בהדרגה לאסטרטגה מרכזית של החברה. במסגרת הזאת היא חשפה את הדור השלישי של מעבדי השרתים Intel Xeon. מדובר ב-11 דגמים של מעבדי Cooper Lake בעלי 8-28 ליבות העובדים בתדר של עד 3.9GHz ויימכרו בטווח המחירים 1,200-13,000 דולר ליחידה. המעבדים כוללים תמיכה בפורמט ייצוג המספרים bfloat16, המאפשר לבצע פעולות אימון של רשתות בינה מלאכותית (AI).

החברה מסרה שעליבבא, באידו, פייסבוק וטנסנט בחרו במעבדים האלה. אינטל הבהירה שמוצרי ה-AI של הבאנה לאבס הישראלית כבר נמצאים אצל מספר לקוחות, ושהם אינם מתחרים בקו מוצרי xeon, שכן מוצרי הבאנה ממוקדים בהאצת יישומי למידה עמוקה. מנכ"לית קבוצת Xeon וזיכרון באינטל, ליסה ספלמן, אמרה שהיכולת לפרוס במהירות בינה מלאכותית ואנליטיקה של נתונים, "היא צורך עסקי של לקוחותינו. אנחנו מחויבים לקדם את הבינה המלאכותית".

בינה מלאכותית היא הארכיטקטורה של העתיד

להערכת חברת IDC, עד לשנת 2021, יכללו כ-75% מהיישומים התעשייתיים מרכיבים של בינה מלאכותית. עד שנת 2025, ייווצרו באמצעות בינה מלאכותית כ-25% מהנתונים שיופקו מהתקני IoT. לצד המעבדים, אינטל גם הכריזה על הרכיב המיתכנת (FPGA) הראשון שלה המצוייד בבינה מלאכותית: מדובר ברכיב Stratix 10 NX FPGA שייצא בקרוב לשוק. הוא עבר אופטימיזציה לבינה מלאכותית ומתמקד בהאצת AI ברוחב פס גבוה ושיהוי נמוך עבור יישומים תובעניים כגון עיבוד שפה טבעית ואיתור חום תרמי.

הרכיב כולל מארג של יחידות עיבוד מסוג חדש בשם AI Tensor Blocks, המאפשר ליישם פעולות הכפלה של מטריצות גדולות ושל מטריצות בווקטורים, שהן מקובלות מאוד ביישומי בינה מלאכותית. הבלוק מאפשר לבצע פעולות על מספרים גדולים מאוד מסוג INT4 ו-INT8 (בעלי 19 ספרות עשרוניות) וחישובי נקודה צפה ברמה של עד PF16, כלומר 16 סיביות. אינטל העריכה שביצועי החישוב המטרציוני שלו חזקים פי 15 בהשוואה לרכיב Stratix 10 FPGA סטנדרטי.

האזינו לריאיון עם ד"ר אמתי ערמון מאינטל ישראל, על השימושים השונים של בינה מלאכותית באינטל, מתוך הפודקאסט שלנו מחודש מרץ 2020

Xilinx הכריזה על רכיב מיתכנת לתנאי חלל הכולל בינה מלאכותית

חברת Xilinx זינקה על-פני שלושה דורות טכנולוגיים והכריזה השבוע על הרכיב מיתכנת חדש לשימושים בחלל החיצון, המיוצר בתהליך של 20 ננומטר, בהשוואה לדור הקודם שלה, אשר התבסס על טכנולוגיית ייצור שבבים בגיאומטריה של 65 ננומטר. הרכיב החדש, מדגם Kintex UltraScale XQRKU060 FPGA, מספק עוצמת עיבוד אותות (DSP) חזקה פי 25 מרכיבי הדור הקודם.

להערכת החברה, זהו רכיב החלל הראשון הכולל יכולות לימוד מכונה הנתמכות על-ידי הפלטפורמות התעשייתיות הסטנדרטיות, כמו TensorFlow ו-PyTorch. הדבר מאפשר לבצע בחלל פעולות עיבוד מבוססות בינה מלאכותית ולימוד עומק, כמו למשל עיבוד תמונה בזמן אמת לוויין עצמו. הרכיב החדש מספק עוצמת עיבוד של 5.7 טריליון פעולות בשנייה (Tera Operations Per Second).

כדי לשפר את יעילות עיבוד האותות, זיילינקס שילבה בו 2,760 יחידות DSP slice. השימוש ברכיבי FPGA יעיל במיוחד בעיבוד אותות מכיוון שהוא מאפשר חישוב מקבילי תפור לצורכי המשימה. יחידות DSP slice כוללות מכפלים ואוגרים לביצוע חישובים ייעודיים מהירים. רכיב XQRKU060 כולל ערוצי SerDes להמרה מהירה של תקשורת טורית/מקבילית. המערך כולל 36 מקמ"שי SerDes המגיעים למהירות העברת נתונים של עד 12.5Gbps כל אחד, ומספקים רוחב פס מצרפי של עד 400Gbps.

עמידה בתנאי SEE קיצוניים

הרכיב מופיע במארז קראמי בגודל של 40 מ"מ על 40 מ"מ, המספק הגנה בפני הרעש והרעידות של השיגור, ובפני הקרינה המאפיינת את העבודה בתנאי חלל. הרכיב עמיד בהפרעות מסוג SEE – Single Event Effect הנגרמות על-ידי קרינה קוסמית חזקה ועל-ידי פגיעת פרוטונים עתירי אנרגיה. העמידהבדרישות SEE מאפשרת שימוש ברכיב בלוויינים מנמיכי מסלול (LEO), בלווייני מסלול ביניים (MEO), בלוויינים גיאוסינכרוניים בגובה של 36,000 ק"מ (GEO) ובמשימות חלל עמוק (Deep Space).

סביבת הפיתוח כוללת את חבילת Vivado ואת סביבת Vitis Unified Software Platform של זיילינקס. בעתיד תתווסף גם חבילת הפיתוח Vitis AI לפיתוח יישומי הסקות ברשתות לימוד עומק. אומנם הרכיבים יהיו זמינים בשוק רק החל מחודש ספטמבר 2020, אולם כרטיסי הפיתוח עבורם, מסוג KCU105 Evaluation Kit ומסוג Kintex UltraScale Space Development Kit, כבר זמינים בשוק ומאפשרים להתחיל מיידית בפיתוח של אבות טיפוס.

למידע נוסף:  Radiation Tolerant (RT) Kintex® UltraScale

מנהל תחום רכיבים מיתכנתים של אינטל עבר ל-AMD

בתמונה למעלה: דן מקנמרה ומעבד EPYC שאותו הוא יקדם בשוק השרתים החזקים

חברת AMD גייסה לשורותיה את דן מקנמרה, מי שהיה מנהל תחום הרכיבים המיתכנתים של חברת אינטל. בתפקידו החדש מקנמרה אחראי על תחום השרתים ( Server Business Unit) של AMD, בעיקר שרתים חזקים עבור תשתיות ענן ומרכזי נתונים. התפקיד המרכזי שלו הוא להתחרות באינטל כדי להרחיב את החדירה של מעבדי AMD EPYC מהדור השני של החברה, הנחשבים למתחרים חזקים מאוד של אינטל בתחום השרתים הגדולים.

לפני שהצטרף אל AMD לפני כחודש, שימש מקנמרה כסגן נשיא אינטל לתחום Network and Custom Logic Group, שזו הקבוצה שהוקמה בעקבות רכישת חברת אלטרה בשנת 2015 תמורת 16.7 מיליארד דולר. הוא הגיע לאינטל מחברת אלטרה שבה מילא תפקידי ניהול בכירים במשך 11 שנים. בתפקידו האחרון באלטרה שימש כמנהל חטיבת הפתרונות למערכות משובצות.

המינוי מעלה שאלות ביחס לאסטרטגיית הפיתוח העתידית של AMD. בשנים האחרונות גדל משקלם של פתרונות מיתכנתים (FPGA) בתחום מרכזי הנתונים, עקב יכולתם לספק פתרונות האצה המסיטים עומסים מה-CPU ומאפשרים לו להתמקד בביצוע פעולות העיבוד המרכזיות, ולא בניהול התקשורת, ההצפנות, עיבוד פרוטוקולים וכדומה.

המשימה: החזרת עטרת השרתים ליושנה

האתגר הגדול ביותר של מקנמרה הוא להביא את מעמדה של AMD בתחום השרתים למעמדה בתחום המעבדים למחשבים אישיים. התחרות בין אינטל ו-AMD החלה כבר בשנת 1969, כאשר AMD קיבלה אישור לייצר מעבדים המבוססים על ארכיטקטורת x86 של אינטל. אומנם אינטל היא המובילה הדומיננטית של השוק, אולם בתחום המחשבים האישיים הצליחה AMD לשפר את מעמדה לאחר תקופת נסיגה ארוכה, ולהערכת חברת המחקר PassMark, ברבעון הראשון של 2020 היא החזיקה בכ-33.4% משוק מעבדי ה-x86 למחשבים אישיים, בהשוואה לכ-23.1% ברבעון הראשון של 2019 (גרף למטה).

בתחום השרתים לתשתיות ארגוניות ומרכזי נתונים מצבה קשה יותר. אומנם שרתי EPYC מיוצרים בטכנולוגיה של 7 ננומטר ונתפשים כאיום ישיר על שרתי אינטל, אולם החברה איבדה בשנים האחרונות את מעמדה בתחום. בשנת 2006 היא החזיקה בכ-25% משוק מעבדי השרתים, וכיום היא מחזיקה בכ-7% בלבד (מקור: Mercury Research). המטרה שלה היא להגיע בשנת 2020 לנתח שוק של 10%, כדי להגיע בהמשך לנתח השוק שהיה לה בעבר.

המשקיעים אוהבים את המהלכים האחרונים של חברת AMD, והמנייה שלה בנסד"ק הכפילה את מחירה בשנה האחרונה: ממחיר של כ-22 דולר בינואר 2019, היא עלתה למחיר של כ-51 דולר בינואר 2020, המעניק לה שווי שוק של 59.4 מיליארד דולר. גם מניית אינטל עקפה את שוק השבבים, אבל בשיעור צנוע יותר: בתקופה הזו עלתה מנייתה ממחיר של כ-47 דולר, למחיר של 59.6 דולר, המעניק לה שווי שוק של 259 מיליארד דולר.

סמינר Xilinx לפתרונות האצה יתקיים ב-25 בפברואר 2020

ביום ג', 25 בפברואר 2020 תקיים חברת זיילינקס (Xilinx) סמינר מקצועי בתחום פתרונות ההאצה שהחברה מספקת לתשתיות מיחשוב. הסמינר בשם Accelerating the Future event, ייערך בשיתוף עם חברת אבנט ישראל (Avnet) ובארגון אנשים ומחשבים, באולמי LAGO בראשון לציון. הכנס מיועד למפתחי חומרה ותוכנה ולחברות המתמודדות עם אתגרי מיחשוב תובעניים בפיתוח טכנולוגיות חדשות.

במהלך הסמינר יוצגו פתרונות העיבוד החדשים של החברה, בהם משפחת מוצרי Versal, המספקת פלטפורמת מיחשוב גמישה (Adaptive Compute Acceleration Platform) ייחודית. זוהי קטגוריה חדשה של מיחשוב הטרוגני, אשר מתחרה בארכיטקטורות הוותיקות המבוססות על CPU, GPU ו-FPGA. הרצאת המליאה המרכזית תינתן על-ידי נשיא ומנכ"ל זיילינקס העולמית, ויקטור פנג, שיגיע לארץ כדי להשתתף בסמינר.

המתכנתים נכנסים לעולם ה-FPGA

בארוע גם תוצג פלטפורמת התכנות המשולבת Vitis, אשר פותחת את עולם ה-FPGA בפני הקהילה העצומה של מפתחי תוכנות שאינם מכירים את חומרת ה-FPGA, ומתכננים מערכות בשפות תכנות קלאסיות כמו פייתון, C++, כלי פיתוח ייעודיים לבינה מלאכותית ועוד. זוה קבוצה חדשה שעד היום לא היתה לה גישה אל עולם המערכות המיתכנתות. לאחר מושב הפתיחה יתפצל הארוע לשני מושבים מקבילים: מושב Cloud Acceleration ומושב Embedded SW and Edge Acceleration.

מושב Cloud Acceleration יעסוק בנושאים כמו האצת רשת ופיתוח מתאימי רשת חכמים (SmartNIC), האצת וידאו ואנליטיקס במרכזי נתונים, האצת פעולות עיבוד תמונה בענן ועוד. המושב השני יתמקד בתחומי התוכנה המשובצת והאצה באבזרי קצה. הוא יעסוק בנושאים כמו האצת תוכנה, יישומים משובצים בפלטפורמת Versal, כלי בינה מלאכותית ובניית מנועי בינה מלאכותית ועוד. לצד ההרצאות תתקיים תצוגת פתרונות בהשתתפות השותפים העסקיים של זיילינקס בישראל.

למידע נוסף והרשמה: Accelerating the Future

הבינה המלאכותית דוחפת את שוק ה-ASIC לצמיחה של 8.6%

שוק הרכיבים הייעודיים (Application Specific IC – ASIC) ייהנה ממגמת הצמיחה בשוק רכיבי הבינה המלאכותית, וצפוי לצמוח בקצב שנתי של 8.6% ולהגיע להיקף של כ-28 מיליארד דולר, בהשוואה להקיף מכירות של כ-14.9 מיליארד דולר בשנת 2018. כך מעריכה חברת Allied Market Research בסקר שוק שפורסם לפני כשבוע. החברה סבורה שהצמיחה היתה יכולה להיות גדולה יותר, אולם היא נבלמת עקב מחסור בכוח אדם מקצועי הדרוש לתכנון רכיבי ASIC חדשים.

מגזר semi-custom ASIC שבו הטרנזיסטורים מוכנים מראש והייצור נעשה באמצעות התאמת שכבות מגעים בלבד ביניהם, היתה אחראית לכשתי-חמישיות מהמכירות בשנת 2018. הקטגוריה הזאת כוללת גם את טכנולוגיות Structured ASIC, שבהן הרכיב כולל מודולי IP ותאים לוגיים מוכנים מראש, והלקוח מפתח רק את המסיכות האחראיות לקישוריות ביניהם. היא נחשבת לתחום ביניים בין ASIC טהור לבין רכיב מיתכנת מסוג FPGA.

בסך הכל, מכירות ה-semi-custom ASIC צפויות לצמוח בחמש השנים הבאות בקצב שנתי של כ-12%, הודות לאימוץ נרחב שלה בייצור מעבדי אותות, רכיבים המבצעים פעולות עיבוד כלליות ויישומי זמן אמת. מעניין לציין שהחברה מתייחסת אל שוק ה-FPGA (רכיבים מיתכנתים) כאל חלק בלתי נפרד משוק ה-ASIC ומכנה אותו בשם programmable ASIC.

בחלוקה לפי יישומים, מערכות עיבוד נתונים תפסו כרבע משוק ה-ASIC העולמי בשנת 2018, בזכות האימוץ הגובר של רכיבי ASIC לביצוע יישומים במרכזי נתונים , במערכות ובמתגי תקשורת, בתחנות בסיס סלולריות ובמערכות אלחוטיות מסוגים שונים. אולם במבט לעתיד, שוק מוצרי הצריכה צפוי להיות השוק בעל הצמיחה המהירה ביותר, של 13.2% בשנה. זאת בעקבות החדירה הגוברת של רכיבי ASIC למוצרי צריכה כמו טלוויזיות, מצלמות דיגיטליות, קונסולות משחקים ואפילו מכשירי סמארטפון.

אנליסט חברת ABI Research, ליאן ג'יי סו, מעריך שאחד ממנועי הצמיחה החדשים של שוק ה-ASIC, הוא האימוץ של בינה מלאכותית בתשתיות ענן, אשר שולח את חברות הענן לפתח רכיבי ASIC לצורך ייעול תהליכי ההסקות והתאמת רכיבים לפעולות ייעודיות. "חברות הענן הגדולות גילו שפיתוח עצמי של שבבי AI מעניק להן שילוב טוב יותר של חומרה ותוכנה ויכולת להתאים את השבבים אל סוגים שונים של רשתות בינה מלאכותית.

"חברת גוגל החלה את המהלך הזה בשנת 2017, וכיום חברות רבות הולכות בעקבותיה. באידו פיתחה את שבבי Kunlun, אמזון פיתחה את שבבי Inferentia התומכים בענן של AWS, וחברת וואווי פיתחה שבבי הסקות ב-2018 וכעת היא מפתחת שבבי אימון". לצד המהלך הזה, צריך להזכיר את החשיבות הגוברת של שבבי הסקות שיותקנו במערכות קצה, כמו במצלמות חכמות של העיר החכמה, ובציוד ניטור תעשייתי במסגרת המפעל הממוחשב.

בלעדי ל-Techtime: אינטל בונה קהילת מפתחי מודולים ל-FPGA

חברת אינטל (Intel) גיבשה אסטרטגיה חדשה לפיתוח רכיבים מיתכנתים מסוג FPGA, שנועדה לספק פתרונות ייעודיים התפורים ליישומים נפרדים ולשווקים אנכיים. האסטרטגיה מבוססת על בניית מערך של שותפים המפתחים ומייצרים שבבים נלווים, ועל פיתוח טכנולוגיות המאפשרות לשלב את המודולים האלה בתוך רכיב יחיד, לצד שבב ה-FPGA. בראיון בלעדי ל-Techtime, סיפר סגן נשיא לאסטרטגיה וחדשנות בקבוצת Programmable Solutions Group של אינטל, וינסנט יו (בתמונה למעלה), שהמטרה היא "לספק רכיבים מיתכנתים המותאמים לכל יישום במתכונת של Application Specific FPGA".

הדברים האלה מספקים מענה לשאלה שהציקה לתעשייה שנים רבות: מדוע אינטל רכשה את יצרנית רכיבי ה-FPGA אלטרה בשנת 2015 תמורת סכום עצום של 16.7 מיליארד דולר. לדברי יו, הרעיון של אינטל הוא שטכנולוגיות הייצור שלה יכולות לתת תנופה גדולה מאוד לשוק ה-FPGA. יו: "אסטרטגיית ה-FPGA הייעודי מבוססת כולה על בניית מודל עסקי מסביב לטכנולוגיות הייצור הבלעדיות של אינטל". הרכיבים החדשים ייוצרו במתכונת שבה מספר שבבים נפרדים ארוזים במארז משותף של רכיב יחיד (Advanced Packaging Technologies), המוכר גם בכינוי 3D SiP.

השותפים יפתחו מודולים, אינטל תשלב אותם בשבב

"אנחנו רואים שיש יתרון לספק פתרון מותאים לכל שוק בנפרד. בנינו ספריה של מודולים שונים שניתן להתקין לצד ליבת ה-FPGA. כעת אנחנו עובדים עם קבוצה של שותפים עיסקיים וטכנולוגיים המפתחים מודולים שלהם שניתן יהיה לשלב בתוך הרכיבים כדי לייצר פתרון מותאם לכל צורך". השותפים יפתחו מודולים לצרכים שונים ובטכנולוגיות ייצור שונות, שניתן יהיה לחבר אותן אל ליבת ה-FPGA באמצעות טכנולוגיית EMIB המקשרת אריחי סיליקון שונים בתוך המארז (Embedded Multi-die Interconnect Bridge), ובאמצעות ערוץ התקשורת הפנימי המהיר AIB – Advanced Interface Bus.

חתך לרוחב SoC מרובה-מודולים המבוסס על קישוריות EMIB
חתך לרוחב SoC מרובה-מודולים המבוסס על קישוריות EMIB

המארז מייצר מודל עסקי חדש

שתי הטכנולוגיות פותחו במקור עבור התקשורת בתוך השבב בין רכיבי CPU לבין זכרונות צמודים, וכעת הן משמשות לבניית מודל עסקי חדש עבור קבוצת הרכיבים המיתכנתים (PSG). הגישה הזו מאפשרת כבר היום לייצר רכיבים בעלי פונקציות שונות בהתאם לצרכים ייעודיים, כאשר לצד ליבת ה-FPGA ניתן להתקין מעגלי ASIC, CPU, שבבי זכרון, מעגלים אנלוגיים וכדומה. בנוסף, ניתן יהיה לשלב ברכיב תהליכי ייצור שונים: לצד ליבת ה-FPGA המיוצרת היום בתהליכים של 10 ננומטר ו-14 ננומטר, השותפים העסקיים יוכלו להוסיף מעגלים ייעודיים בתהליכים כמו 14, 20, 28 ו-40 ננומטר.

כיום אינטל מפתחת טכנולוגיית 3D משופרת אשר תשולב ברכיבי משפחת Agilex FPGA שהוכרזה לאחרונה: התקנת אריחי סיליקון אחד על גבי השני (שתי קומות). אינטל מספקת לשותפים גישה חינם אל טכנולוגיות הייצור האלה כדי לעודד אותם לפתח מודולים, אולם הייצור עצמו יישאר באינטל: "השילוב של כל המודולים בשבב ייעשה אצלנו, מכיוון שטכנולוגיית EMIB עבור מארזי SiP היא טכנולוגיה ייחודית שלנו, ואנחנו לא מוציאים אותה החוצה".

מנועי הצמיחה של קבוצת PSG

כלומר, האסטרטגיה החדשה תחזק את הפעילות של אינטל בתחום שירותי הייצור (Foundry). "אנחנו עובדים קרוב מאוד לקבוצת ה-ASIC של אינטל והטכנולוגיות החדשות יאפשרו להחליף בקלות את ה-FPGA בטכנולוגיית Stuctured ASIC, המקילה על ייצור ASIC, ולהביא עסקאות ייצור לאינטל". כיום מדובר בקבוצה קטנה יחסית במונחים של אינטל: היא מעסיקה קרוב ל-4,000 עובדים ומכירותיה ב-2018 הסתכמו בכ-2 מיליארד דולר.

מה יהיו מנועי הצמיחה המרכזיים שלכם?

יו: "השווקים המרכזיים שלנו הם התקשורת, חישוב בקצות הרשת (Edge Computing), מרכזי נתונים ושוק התעופה והביטחון. הדור החמישי יהיה חשוב, מכיוון שהוא ממוקד בעיקר בתקשורת מכונה למכונה ומייצר שינוי בשוק התקשורת, וכאשר שוק נמצא בתהליכי שינוי, מכירות ה-FPGA צומחות. שוק חישובי הקצה צפוי לצמוח מכיוון שרכיבי FPGA יעילים מאוד בעיבוד מידע ויזואלי.

"לרכיבי FPGA יש חשיבות רבה בתחומים כמו מכ"ם ולוחמה אלקטרונית. תחומים אלה נמצאים בתהליך שינוי בין השאר בגלל הצורך להתגונן בפני רחפנים וכלים רובוטיים. שוק ה-FPGA הביטחוני יגיע בתוך שנתיים להיקף של כמיליארד דולר בשנה בארצות הברית לבדה, וזה מבלי להתייחס לשאר העולם.

"שוק מרכזי נוסף הוא מרכזי נתונים וענן, שבו אנחנו צופים צמיחה בתחום של מתאמי תקשורת חכמים (Smart NIC), מכיוון שה-FPGA יעיל מאוד בהחלפת פונקציות העיבוד בהתאם לצורך, ובפיתוח מאיצים עבור רשתות NFV שבהן ה-FPGA מסיט עומסים מה-CPU ונכנס לפעולה כאשר יש צורך להאיץ את הביצוע של פעולות מוגדרות".

וינסנט יו ביקר בישראל בשבוע שעבר כדי להשתתף בסמינר מקצועי שנערך בקרית שדה התעופה בשותפות עם חברת איסטרוניקס.

סיליקום תפתח כרטיס FPGA עבור יצרן של מכונית אוטונומית

חברת סיליקום (Silicom) מכפר סבא הודיעה היום כי זכתה בפרויקט לפיתוח כרטיס מבוסס FPGA לחברה מובילה המפתחת מכונית אוטונומית. הכרטיס ישולב תחילה באופן מצומצם בפרויקט פיילוט של יצרנית
הרכב ובהמשך עשוי להיות מוטמע גם בדגמים מסחריים, מנכ"ל סיליקיום גילה כי במקביל לפרויקט הפיתוח החברה משתתפת בימים אלה במכרז נוסף לפיתוח כרטיס מבוסס FPGA נוסף עבור אותו לקוח.

על פי ההסכם, סיליקום תייצר בעצמה את סדרת הכרטיסים הראשונית עבור פרויקט הניסוי, ולאחר מכן יעבור הייצור הסדרתי לקבלן הייצור של הלקוח, וסיליקום תזכה לתמלוגים בעבור כל כרטיס שיוטמע במכונית של הלקוח. להערכת סיליקום, ההכנסות מהפרויקט צפויות להגיע לכ-2 מיליון דולר, רובם מתמלוגים. אם אמנם הלקוח יבחר להטמיע את הכרטיס בדגמים האוטונומיים, הסכם התמלוגים יבטיח לסיליקום תזרים הכנסות שוטף.

סיליקום מקווה כי פרויקט הפיתוח הזה יקנה לה דריסת רגל בתעשיית הרכב. נשיא ומנכ"ל סיליקום, שייקה אורבך, אמר כי "הזכייה הזו ממצבת אותנו בעמדה טובה ליהנות מהצמיחה של תחום הרכב האוטונומי. מדובר בלקוח ענק, עם אינספור
חטיבות פעילות ופרויקטים שעשויים לספק לנו הזדמנויות למכירות נוספות של המוצרים והפיתוחים שלנו."

פורצת מתוך עולמות הטלקום

סיליקום מפתחת כרטיסי רשת ותקשורת בעיקר ללקוחות מתחום הטלקום, הענן ומרכזי המידע. ואולם, נראה שהצורך הגובר בתקשורת מהירה וניהול מידע רב גם בתעשיות אחרות, פותח עבור סיליקום הזדמנויות במגזרים נוספים. לפני חודש דיווחה החברה כי תפתח מתגי איתרנט מבוססי FPGA ללקוח מתחום התעשייה התהליכית.

"שחקנים משמעותיים מתחומים נוספים פונים אלינו, מה שמלמד שהפתרונות שלנו רלוונטיים גם עבורם." חברת סיליקום נסחרת בנסד"ק לפי שווי שוק של כ-240 מיליון דולר. בשנת 2018 הסתכמו מכירותיה בכ-133.7 מיליון דולר, בהשוואה לכ-125.7 מיליון דולר בשנת 2017 וכ-100.3 מיליון דולר בשנת 2016.

Xilinx הכריזה על רכיב ה-FPGA הגדול בעולם

חברת זיילינקס (Xilinx) הכריזה על רכיב ה-FPGA הגדול ביותר בתולדותיה' המיועד ליישומי בניית אבות טיפוס של שבבי ASIC ולפיתוח תוכנות לפני סיום התכנון. הרכיב החדש מדגם VU19P מבוסס על ארכיטקטורת Virtex UltraScale+ ובנוי מ-34.5 מיליארד טרנזיסטורי FinFET המיוצרים בתהליך של 16 ננומטר. להערכת החברה, מדובר בפתרון ה-FPGA הגדול ביותר בעולם: הוא כולל 9 מיליון תאים לוגיים (logic cells) ומספק 2,072 נקודות קלט/פלט (GPIO).

הרכיב כולל ערוץ תקשורת אל זכרון DDR4 העובד במהירות של עד 1.5 טרה-ביט לשנייה ולצידו 80 מקמ"שים (טרנסיברים) ברוחב סרט של עד 4.5 טרה-ביט לשנייה. הרכיב מיועד לבדוק תכנוני RTL של רכיבי ASIC גדולים מאוד (SoC) ולאפשר בדיקת תוכנות הנמצאות בפיתוח, עוד לפני שהשבב עצמו מוכן (אמולציה). החברה הודיעה שהרכיב החדש יגיע לשוק בתחילת 2020, ביחד עם חבילת תוכנות בדיקה וניתוח, מודולי IP ותמיכה של חבילת התכנון Xilinx Vivado Design Suite.

פתרון פרוטוטייפינג מהדור השלישי

מבחינת זיילינקס, מדובר בדור השלישי של רכיבי-ענק המיועדים לתמוך בפיתוח. הרכיב הראשון, 7V2000T יצא לשוק בשנת 2011 כשהוא מבוסס על תהליך ייצור של 28 ננומטר וסיפק 2 מיליון תאים לוגיים. הרכיב השני במשפחה, VU440, יצא לשוק בשנת 2015, כשהוא מיוצר בתהליך של 20 ננומטר וכולל 5.5 מיליון תאים לוגיים. הרכיב השלישי במשפחה (VU19P) מיוצר מטרנזיסטורי FinFET כדי להשיג מהירות תגובה גבוהה במיוחד. הוא מופיע במארז Lidless packaging המאפשר מגע ישיר בין הסיליקון ובין מערך פיזור החום (heat sink), כדי להשיג יכולות קירור חזקות ויכולת עבודה בתנאים רגישים לטמפרטורה.

למרות שהוא תוכנן עבור פרוטוטייפינג ואמולציה, החברה מסרה שניתן ליישם באמצעותו רכיבי מיחשוב, תקשורת, תעופה וביטחון. אולם המשימה המרכזית שלו היא לספק מענה לשינויים המתחוללים בשוק תכנון השבבים: המגמות החדשות של בינה מלאכותית (AI) ולימוד מכונה (ML), רכב אוטונומי, הדור החמישי (5G) ועיבוד תמונה, מייצרים גל חדש של שבבים גדולים מאוד ומורכבים מאוד, בעלי ארכיטקטורות חדשות ועשירים בקוד תוכנה.

בדיקת התכנון ובניית אבות טיפוס שלהם דורשת מנועים חזקים במיוחד. ההתקנה של ערוצי GPIO רבים מאפשרת לפשט את התכנון של פלטפורמות מרובות FPGAs, והמקמ"שים הרבים והמהירים ברכיב החדש מאפשרים לקשר את התכנון אל מערכות צב"ד מורכבות ולהבטיח תאימות לתקנים עתידיים חדשים של ממשקי תקשורת.

סיליקום תפתח מתאם איתרנט 400GbE עבור לקוח חדש

חברת סיליקום (Silicom) מכפר-סבא נכנסת לתהליך פיתוח של מתאמי איתרנט חכמים מבוססי FPGA המותאמים לצרכים של לקוח חדש מהתעשייה התהליכית. הלקוח ביצע הזמנה ראשונית, ולפי הערכתו פוטנציאל הפרוייקט עשוי להסתכם בהזמנות בהיקף של כ-1.5 מיליון דולר בשנה. כרטיסי FPGA Smart-NIC הינם כרטיסי PCIe המאפשרים חיבור שרתים, זכרונות או אבזרים אחרים אל רשת איתרנט מהירה. הם כוללים רכיב FPGA המבצע את פעילות העיבוד של התקשורת, על מנת להסיט את העומס הזה מה-CPU. בשוק קיימים גם כרטיסים מבוססי ASIC או SoC, שבהם העיבוד מתבצע ברכיב ייעודי ולא ב-FPGA.

החברה מסרה שהלקוח יצר איתה קשר לאחר שביצעה הכרזה לפני מספר חודשים על כרטיס מתאם חדש, וביקש להתאים את המוצר לצרכים המיוחדים שלו כדי שיספק תקשורת בקצב של 400GbE. בחודשים נובמבר ודצמבר 2018 הכריזה סיליקום על שני מתאמים חדשים: מתאם fb2CG@KU15P המבוסס על רכיב FPGA של זיילינקס ממשפחת Kintex UltraScale, ורכיב fb2CGhh@KU15P FPGA המבוסס על רכיב FPGA זהה ותומך בתקן PCIe Gen3. שני הכרטיסים (בתמונה למעלה) מיועדים לספק תעבורת נתונים בקצבים של 10-100GbE באמצעות מחברי QSFP28.

מדובר בעיסקה מעניינת מבחינת סיליקום, שכן היא נכנסת לפיתוח דור חדש של מתאמים באמצעות תקציב פיתוח של לקוח עתידי, ולקוח המפעיל מתקני תעשייה גדולים, הנמצא מחוץ לקבוצת הלקוחות המסורתיים של החברה המגיעים בעיקר מעולמות התקשורת. נשיא ומנכ"ל סיליקום, שייקה אורבך, אמר שמדובר בעיסקה חשובה מאוד, מכיוון שהיא פותחת בפני החברה שוק יעד חדש לחלוטין.

אורבך: "הזכייה היא בעלת פוטנציאל גדול מאוד בתוך הארגון הגדול של הלקוח, ובקרב השותפים העיסקיים שלו וגופים נוספים מהתעשייה שבה הוא פועל". חברת סיליקום נסחרת בנסד"ק לפי שווי שוק של כ-235 מיליון דולר. בשנת 2018 הסתכמו מכירותיה בכ-133.7 מיליון דולר, בהשוואה לכ-125.7 מיליון דולר בשנת 2017 וכ-100.3 מיליון דולר בשנת 2016.

אינטל תייצר מעבדי GPU בתהליך של 7 ננומטר

תהליך הייצור המתקדם ביותר של חברת אינטל (Intel) ישמש בשלב הראשון לייצור מעבדי GPU שייצאו לשוק במהלך 2021, ולא לייצור מעבדי CPU סטנדרטייים. כך גילה השבוע מהנדס הייצור הראשי של חברת אינטל, ד"ר מארטי רנדוצ'ינטלה, ביום המשקיעים השנתי של החברה שנערך ביום ה' בסנטה קלרה, קליפורניה. לדבריו, הטכנולוגיה שאינטל מפתחת תספק שיפור של 20% בביצועים לכל וואט, ותקטין פי ארבעה את מורכבות הפיתוח.

המוצר המרכזי שייוצר בטכנולוגיה החדשה הוא מעבד GPU כללי הבנוי בארכיטקטורת Xe ומיועד לשימוש במרכזי נתונים בעיקר ליישומי בינה מלאכותית וליישומים התובעים עוצמת מחשוב גדולה. המוצרים הראשונים מהסדרה הזאת צפויים לצאת לשוק בשנת 2021. מבחינת אינטל מדובר במהלך אסטרטגי המיועד למצב אותה כמתחרה מרכזית בשוק מעבדי ה-GPU.

היא נערכת זמן רב לתחרות על השוק הזה, שאותו מובילות כיום החברות AMD ואנבידיה. בנובמבר 2017 היא צרפה אליה את ראג'ה קודורי, ששימש כארכיטקט הראשי של המעבדים הגרפיים בחברת AMD, ומינתה אותו לסגן נשיא ולארכיטקט הראשי של קבוצת Core and Visual Computing החדשה.

ד"ר מארטי רנדוצ'ינטלה. המעבר ל-7 ננומטר יתחיל בתחום ה-GPU
ד"ר מארטי רנדוצ'ינטלה. המעבר ל-7 ננומטר יתחיל בתחום ה-GPU

בכנס הארכיטקטורה של חברת אינטל שנערך בדצמבר 2018 הסביר קודורי את אסטרטגיית המוצרים החדשים של אינטל. בניגוד לעבר, היא לא תתבסס על מעבדי CPU, אלא על שילובים של שישה מרכיבים: תהליכי ייצור מתקדמים; ארכיטקטורה מגוונת הכוללת GPU, CPU, FPGA, מאיצים ומארזים חדשים; טכנולוגיות זכרון חדשות כמו Optane למשל; פתרונות קישוריות בין מעבדים; אבטחה וכלי תוכנה לפיתוח מוצרים חדשים.

הרחבת מגוון המוצרים בטכנולוגיית 10 ננומטר

במקביל, היא נערכת להעברת חלק גדול מהייצור לתהליך של 10 ננומטר. מהלך שיתחיל בחודש יוני, עם תחילת הייצור ההמוני של מעבדי CPU בפלטפורמת Ice Lake, כדי שיוכלו להיכנס למחשבים האישיים החדשים שייצאו לשוק בסוף 2019 (עונת החגים). במהלך השנה הקרובה (2019-2020) אינטל תרחיב את מגוון המוצרים המיוצרים בטכנולוגיית 10 ננומטר: דגמים נוספים של מעבדים למחשבים אישיים ולשרתים, מעבדי הסקות לבינה מלאכותית (AI inference processor) ממשפחת Nervana, מעבד GPU לשימושים כלליים, רכיב תקשורת 5G ואת משפחת רכיבי Agilex FPGA החדשה (בתמונה העליונה).

רכיבי Agilex ייצאו לשוק במארז 3D SiP, המאפשר לשלב ברכיב אחד את ליבת ה-FPGA ביחד עם מעגלים אנלוגיים, מעגלי זיכרון, ממשקי קלט/פלט, ואפילו את ליבת ה-structured ASIC של חברת eASIC, שאותה אינטל רכשה בחודש יולי 2018. אסטרטגיית ה-FPGA של אינטל מיושמת בקבוצת Programmable Products Group. בשנת 2018 היוו מכירות הקבוצה כ-3% מכלל המכירות של אינטל, כלומר כ-2.12 מיליארד דולר (מתוך היקף מכירות כולל של כ-70.8 מיליארד דולר).

שוק ה-Embedded FPGA נכנס לתאוצה

ההאטה של חוק מור בתעשיית השבבים, לצד הדרישה הגוברת ליכולות עיבוד, מאלצים את התעשייה לחפש פתרונות חדשים מעבר לגישה המסורתית של מיזעור שבבים והוספת טרנזיסטורים. אחד מהתחומים הנהנה מהמגמה הזאת הוא שוק מערכות ה-FPGA המשובצות (Embedded FPGA, או eFPGA), אשר היקפו הסתכם בשנת 2017 בקצת יותר מ-3 מיליארד דולר.

טכנולוגיית eFPGA מאפשרת לשלב בתוך שבב ASIC או SoC, בלוק IP המספק את הפונקציונליות המלאה של רכיבים מיתכנתים, בלא צורך במעגלי התמיכה ההיקפיים של רכיב FPGA ייעודי. הדבר מאפשר להשיג חיסכון ניכר בהספק, מכיוון שברכיבים הייעודיים כמעט מחצית משטח הסיליקון מיועד למעגלי התמיכה, כמו מעגלי I/O מיתכנתים,  ממשקי תקשורת מסוג SerDes, GPIO, PCIe, מעגלי אספקת כוח ועוד.

משלושה מיליארד דולר ל-9 מיליארד דולר בשנה

המערך הזה מתייתר כאשר משבצים בלוק eFPGA בתוך שבב מכיוון שהוא מתחבר ישירות אל שאר הבלוקים ברכיב, ולכן לעתים רבות הוא מאפשר לבצע פעולות קלאסיות של רכיבים מיתכנתים, כמו הוספת מנועי האצה למעבד,תוך כדי עמידה בדרישות חיסכון בהספק מחמירות מאוד. בנוסף, השימוש ב-eFPGA מאפשר להתאים את גודל המעגל המיתכנת לצרכים הספציפיים של הרכיב, כדי לקבל אופטימיזציה טובה יותר.

להערכת חברת המחקר Allied Market Research, השוק נכנס למסלול צמיחה בשיעור של כ-16.5%, שיביא אותו להיקף של כ-8.98 מיליארד דולר בשנת 2024. השימוש בטכנולוגיית eFPGA גובר במיוחד בציוד תקשורת, במרכזי נתונים ובתחנות בסיס סלולריות. מדי פעם הם משולבים גם בציוד מהקצה הגבוה. לאחרונה, למשל, דיווחה אוניברסיטת הרוורד על שילוב מודול eFPGA בתוך שבב ייעודי ללימוד עומק המיועד לעבוד בזמן אמת.

להערכת חברת המחקר, השוק העולמי נישלט על-ידי 12 יצרניות שבבים מרכזיות: אינטל, קואלקום, Flex Logix, ברודקום, Quick Logic, זיילינקס, Achronix, אנלוג דיווייסז, NXP, רנסאס, Microchip ו-Cypress Semiconductor. חברת QuickLogic הודיעה בחודש שעבר על התאמת פלטפורמת ה-eFPGA שלה לתהליך הייצור 40 ננומטר של TSMC, כדי להתאימה ליישומים בשוקי ה-IoT, הרכב והאבטחה.

ARM מנסה לבלום את RISC-V

בתמונה למעלה: כרטיס פיתוח של SiFive למערכות המבוססות על מעבדי RISC-V

חברת ARM מנסה לבלום את מגמת הפופולריות הגואה של מעבדי הקוד הפתוח RISC-V, ותספק את הקניין הרוחני של מעבדי Cortex-M ללקוחות אשר ישבצו אותם בתוך רכיבי FPGA של חברת Xilinx. כך דיווח מנהל קבוצת הניהול של המוצרים בחברה, פיל בר, בפוסט שהעלה באתר חברת ARM. היוזמה כוללת את המעבדים Cortex-M1 ו-Cortex-M3, שהם גרסאות מותאמות ל-FPGA של משפחת מעבדי Cortex-M0. היוזמה המשותפת של שתי החברות מיועדת להקל על השילוב של מעבדי ARM ושל תוכנות ARM בתכנונים המבוססים על רכיבים מיתכנתים מהמשפחות Spartan, Artix ו-Zynq של חברת Xilinx.

הקניין הרוחני (IP) של המעבדים יינתן באמצעות תוכנית DesignStart, שאותה החלה ARM להפעיל בשנת 2010, שנועדה לספק ערוץ גישה מהיר וזול לקניין רוחני של ARM. החברה הסבירה את הכנסת מעבדי Cortex-M לתוכנית DesignStart בכך שהיא עונה על צורך דחוף של התעשייה: תחזית לצמיחה של 74% במספר הרכיבים המיתכנתים (PLD ו-FPGA) שלהערכת גרטנר מאפיינת את השנים 2016-2022. אלא שעדיין לא ברור מדוע החברה החליטה לספק בחינם את הקניין הרוחני למעבד ההספק הנמוך הפופולרי ביותר שלה, בשלב זה ללקוחות זיילינקס.

כרטיס פיתוח של Lattice לרכיבי FPGA ממשפחת MACHXO2
כרטיס פיתוח של Lattice לרכיבי FPGA ממשפחת MACHXO2

קואליציה מאיימת של ענקיות הטכנולוגיה

יכול להיות שהיא מקבלת תמריץ מחברת זיילינקס במסגרת המאבק שלה במוצרים המתחרים של אינטל (לשעבר אלטרה), אולם יכול להיות שהפתרון טמון בהודעה שעלתה לפני מספר ימים באתר של פורום RISC-V: לקראת הכנס השנתי של איגוד משתמשי RISC-V שיתקיים בחודש דצמבר השנה, הכריז הארגון על תחרות SoftCPU לתכנון יישום של  RISC-V המיועד לשימוש ברכיבי FPGA. התחרות ממומנת על-ידי גוגל ו-Microchip ובמסגרתה מתבקשים המתחרים לתכנן יישום קוד פתוח לרכיבי FPGA של Microsemi ולרכיבי FPGA של חברת Lattice. כלומר, מדובר בפלטפרומת מעבד קוד פתוח המתחרה ב-ARM, ליישום ברכיבי FPGA המתחרים בזיילינקס.

ארכיטקטורת RISC-V מתחילה להתברר כרעיון שעשוי לשנות את פני התעשייה. היא פותחה לפני כשמונה שנים באוניברסיטת ברקלי במתכונת של ממשק ISA שיכול להקיץ מחשבים חזקים בגודל מילה של עד 128 סיביות. בשנת 2016 התעשייה החלה לאמץ את הרעיון והוקם ארגון התמיכה התעשייתי RISC-V Foundation, שנועד לקדם את השימוש בארכיטקטורת המחשוב החופשית. כיום הארגון נתמך על-ידי כמה מהחברות החזקות בתעשייה, בהן: גוגל, HP, יבמ, אורקל, מיקרוסמי, לאטיס, אנבידיה, קואלקום, ווסטרן דיג'יטל, מארוול, מיקרון, NXP, סמסונג, וואווי, TSMC ועוד.

המודל העסקי של RISC-V מאיים על ARM

גם התעשייה הישראלית מקדמת את מעבדי הקוד הפתוח. חברת מלאנוקס חברה בארגון במעמד של מייסד (founder), וחברת סיוה מהרצליה שבעבר נכשלה ברכישת ארכיטקטורת MIPS הצטרפה אליו במעמד של שותפה בכירה. הרעיון מתחיל להיכנס לשוק. חברת אנבידיה כבר התחילה להשתמש במעבדי RISC-V במספר מיקרו-בקרים, וחברת ווסטרן דיג'יטל מסרה לאחרונה שבשנת 2019 או 2020 היא תוציא לשוק פתרונות איחסון המנוהלים באמצעות מעבדי RISC-V.

בתוך כך, חברת הפאבלס SiFive מקליפורניה, החלה לספק שבבי מעבדים המבוססים על RISC-V לתעשיה. עבור ARM מדובר באיום מוחשי: קניין רוחני של מעבדים במתכונת של קוד פתוח, שניתן להורידם בחינם מאתר Github, מאיים על המודל העסקי שלה, המבוסס על מכירת קניין רוחני של מעבדים.

צמיחה של 8.5% בשנה: שוק ה-FPGA יוצא מהקיפאון

בעשור האחרון נראה היה ששוק רכיבי ה-FPGA המיתכנתים תקוע סביב היקף מכירות ממוצע של כ-5 מיליארד דולר בשנה, ולא מצליח לצאת מהגטו הטכנולוגי שגבולותיו מסומנים על-ידי מחיר גבוה ומתחרות מול רכיבי ASIC ייעודיים. אלא שבשנה האחרונה מסמן שינוי מהותי בשוק, בעקבות משקלם הגובר של הרכיבים המיתכנתים בשוקי צמיחה חדשים כמו מערכות ADAS בכלי-רכב, האצת הביצועים של שרתים, בינה מלאכותית ושוק ה-IoT.

להערכת חברת המחקר Mordor Intelligence, בשנת 2017 הסתכם שוק ה-FPGA העולמי בכ-5.9 מיליארד דולר, אולם הוא צפוי לצמוח בשנים הקרובות בקצב של כ-8.6% בשנה ולהגיע להיקף של כ-9.8 מיליארד דולר בשנת 2023. רכיבי FPGA הם רכיבים המאפשרים לממש פעולות לוגיות באמצעות תוכנה במקום באמצעות חומרה.

ליבת הטכנולוגיה מבוססת על שימוש באלמנטים יסודיים הכוללים טבלת אמת מצומצמת (lookup table – LUT). ה-LUT הוא מעין זכרון זעיר המגדיר מה יהיה המוצא לכל כניסה מוגדרת. על-ידי כך הוא יכול לספק אלטרנטיבה לשער לוגי. הקישור והגדרת הקשר בין בלוקי LUT רבים, מייצרת התנהגות זהה לזו של מעגל דיגיטלי ייעודי (ASIC). ההבדלים ביו הרכיבים השונים מתבטאים בעיקר בגודל ה-LUT, מספר אלמנטי ה-LUT, טכנולוגיית הזיכרון, גודל הצומת ומעגלי התמיכה מסביב למערך הליבה של ה-FPGA.

תפקיד חדש בשווקים חדשים

עד לשנים האחרונות שימשו רכיבי FPGA בעיקר לצורך אימות תכנוני ASIC או לייצור בכמויות קטנות שבהן לא היתה כדאיות בכניסה לפרוייקט ASIC יקר, אלא ששינויים טכנולוגיים שהתחוללו לאחרונה משנים את פני שוק ה-FPGA. יצרניות שרתים גדולות גילו שהתקנת רכיב FPGA  לצד ה-CPU בשרתים הגדולים מאפשרים להאיץ את פעולות החיפוש והאנליטיקה באמצעות הפחתת העומס על ה-CPU. התחום הזה נמצא בהתפתחות מהירה, וכיום מתפתחת תחרות בין רכיבי FPGA לבין מעבדי GPU בשוק האצת הביצועים של שרתים.

התפתחות שוק ה-IoT מספק הזדמנות נוספת, מכיוון שרכיבי FPGA קטנים יכולים לספק מענה תפור לדרישות העיבוד המוגבלות של אבזרי הקצה, ללא צורך בהתקנת רכיבים מהמדף שבמקרים רבים מספקים ביצועי-יתר. שוק הבינה המלאכותית מעניק דחיפה נוספת לתחום ה-FPGA, במיוחד בתחום הרשתות הנוירוניות ולימוד עומק. יישומים אלה דורשים עיבוד מהיר, וגמיש מאוד.

ארכיטקטורת עיבוד גמישה

הרכיבים המיתכנתים מאפשרים להתאים את ארכיטקטורת העיבוד לדרישות המשתנות של הרשת הנוירונית ולספק מיחשוב מותאם לצורך, ובזכות המבנה שלהם מסוגלים לספק יכולות של חישוב מקבילי. יכולת המאיצה את הרחבת השימוש בהם גם במערכות תקשורת אופטיות וגם במערכות הדורשות עיבוד נתונים מקבילי, כמו למשל עיבוד מידע המגיע מהחיישנים ברכב אוטונומי, ומערכות הדור החמישי הדורשות עיבוד מקביל של המידע המגיע ממערך גדול מאוד של אנטנות (MIMO).

שתי החברות הגדולות ביותר בשוק הן אינטל וזיילינקס (Xilinx). אינטל נכנסה לתחום הרכיבים המיתכנתים בשנת 2015 כאשר היא רכשה את Altera תמורת 16.7 מיליארד דולר. עד היום זוהי עיסקת הרכישה הגדולה ביותר בתולדותיה. העיסקה הזו נולדה מהצורך לספק תשתיות מיחשוב מסוג חדש לעולם הענן והשרתים, אשר דרשו יכולות עיבוד מהירות שמעבדי CPU סטנדרטיים אינם מסוגלים לספק. חברת זיילינקס נחשבת למי שהמציאה את תחום ה-FPGA. בשנת הכספים 2017 הסתכמו מכירותיה בכ-2.3 מיליארד דולר, ב-2018 הן צמחו לכ-2.5 מיליארד דולר, ולשנת הכספים 2019 היא חוזה מכירות של 2.7-2.8 מיליארד דולר.

זיילינקס רכשה טכנולוגיית בינה מלאכותית סינית

חברת זיילינקס (Xilinx) רכשה את חברת הסטארט-אפ DeePhi Technology מהעיר בייג'ינג. חברת דיפ-פיי מתמחה בייעול אלגוריתמים של מערכות לימוד עומק. החברה עוסקת בדחיסה של הרשתות ופיתחה יכולות אופטימיזיצה של רשתות נוירוניות באמצעות "גיזום" (pruning), שהיא טכניקה לצמצום ענפי משנה מיותרים בעץ קבלת ההחלטות של האלגוריתם.

מאז הקמתה בשנת 2016, פיתחה החברה את טכנולוגיית הבינה המלאכותית שלה על-גבי רכיבי FPGA של חברת זיילינקס, אשר נכנסה בחודש מאי 2017 לשותפות בחברה באמצעות השקעת הון. לא נמסרו פרטים על היקף העיסקה. חברת דיפ-פיי מעסיקה כיום כ-200 עובדים, שימשיכו לעבוד ממשרדי החברה הנוכחיים. מנהל תחום התוכנה בחברת זיילינקס, סאליל ראג', אמר שזיילינקס תמשיך להשקיע בחברה, כדי לממש את החזון המשותף של שתי החברות של "האצת יישומי לימוד מכונה בענן ובמכשירי הקצה".

חברת דיפ-פיי הוקמה על-ידי ארבעה חוקרים מאוניברסיטת צ'ינגואה הסינית ומאוניברסיטת סטאנפורד, אשר התמחו בתחום הבינה המלאכותית. החברה חשפה לראשונה את הטכנולוגיה שלה בכנס FPGA2017, כאשר היא הראתה שהרצת האלגוריתם שלה על-גבי FPGA משפר את יעילות העיבוד הקולי (speech recognition) פי 43 בהשוואה ל-CPU ופי 3 בהשוואה ל-GPU. הפתרון היה חסכוני בהספק ביחס של פי 40 ביחס לעיבוד מבוסס CPU ופי 11 בהשוואה לעיבוד מבוסס GPU.

מבחינת זיילינקס מדובר בהשקעה מעניינת מאוד, שכן דיפ-פיי מאפשרת לה לשווק את הרכיבים המתיכנתים לשווקים חדשים לגמרי, שבהם נמכרים מוצרים שעד היום לא היו מבוססים על רכיבי FPGA בגלל שיקולי עלות. במסגרת המאמץ להיכנס לשווקים חדשים, חשפה החברה לפני כחודשיים את ערכת הפיתוח ZCU104 Evaluation Kit (בתמונה למעלה) ליישומי ראיית מכונה.

הערכה מבוססת על רכיבי Zynq UltraScale, MPSoC EV, מעבד גרפי ממשפחת Mali ומעבד יישומים מבוסס ARM Cortex-A53. היא גם כוללת את תוכנת עיבוד התמונה reVISION. הכרטיס מיועד לאפשר פיתוח מיידי של יישומי ראייה משובצים, כמו מערכות עזר לנהג (ADAS), ראיית מכונה, מערכות ראייה מרובדת (Augmented Reality) ועיבוד תמונה במיכשור רפואי.

עיסקת eASIC: אינטל נכנסת לתחום ה-Structured ASIC

בתמונה למעלה: דניאל מקנמרה (משמאל) עם מנכ"ל eASIC רוני ואסישטה

חברת אינטל מרחיבה את פעילותה בתחום הרכיבים המיתכנתים, ורוכשת את חברת eASIC מסנטה קלרה, קליפורניה, אשר פיתחה טכנולוגיית ייצור מסוג Structured ASIC הנמצאת בתווך בין תכנון ASIC ייעודי לבין שימוש ברכיבים מיתכנתים מסוג FPGA. מנהל קבוצת הרכיבים המיתכנתים של אינטל (לשעבר חברת אלטרה), דניאל מקנמרה, דיווח על העיסקה בפוסט שהעלה בבלוג של אינטל.

העיסקה מכניסה את אינטל לסוג חדש של טכנולוגיות ייצור שבבים. "בטווח הארוך, אנחנו רואים הזדמנות באפשרות לפתח סוג חדש של רכיבים מיתכנתים, אשר מנצלים את יתרונות של טכנולוגיית Embedded Multi-Die Interconnect Bridge של אינטל כשהיא משולבת בטכנולוגיית ה-FPGA ביחד עם טכנולוגיית structured ASIC במארז יחיד. אנחנו מצפים להשלים את העיסקה ברבעון השלישי של 2018", הוא כתב.

רעיון ישן עם הזדמנות חדשה

היקף העיסקה לא נמסר, אולם יש בה מרכיב ישראלי מעניין. חברת eASIC הוקמה לפני 19 שנים על-ידי הישראלי צבי אור-בך, ששימש גם כמנכ"ל החברה במשך 6 שנים. לאחר שפרש מהחברה הוא הקים את Monolithic 3D המפתחת גם היא טכנולוגיות ייצור חדשות בתחום השבבים. לפני eASIC , ייסד אור-בך את חברת Chip Express, שגם היא פיתחה טכנולוגיית Structured ASIC, אשר נמכרה בהמשך ל-Gigoptix שנירכשה בתחילת 2017 על-ידי IDT.

בתחום ייצור השבבים קיימות שתי גישות מרכזיות: תכנון מעגל ייעודי (ASIC) שהוא יעיל מאוד, אולם יקר לביצוע מכיוון שהוא כולל תכנון של כל המרכיבים, מרמת השער הלוגי וכל מסיכות הייצור. אפשרות אחרת היא שימוש ברכיבים מיתכנתים מסוג FPGA, שבהם הפיתוח מהיר וזול יותר, אולם עלותם גבוהה וצריכת ההספק שלהם מאוד גדולה ביחד ליישום הנדרש, מכיוון שהם מבוססים על יחידות לוגיות אחידות המקושרות באמצעות תוכנה, ולכן מעגל מיתכנת זקוק להרבה מאוד תשתית היקפית מסביבו.

מורידים את המסיכות

גישת Structured ASIC מוכרת בתעשייה כבר יותר מ-20 שנה ומעולם לא הפכה לתפישה מרכזית. בגישה הזאת הלקוח מקבל פרוסת סיליקון הכוללת מרכיבים פנימיים מוכנים, כמו טרנזיסטורים, רגיסטרים ואפילו ממירי ADC/DAC, כאשר התכנון נעשה ברמת שכבת מוליכי המתכת, שבה הלקוח קובע מה יהיו החיבורים החשמליים בין מרכיבי המעגל. בהשוואה לתכנון ב-FPGA, כאן הקישוריות נעשית בחומרה ולא בתוכנה, ובהשוואה ל-ASIC סטנדרטי, המפתח עוסק במספר קטן של שכבות הולכה (מסיכות) מתכתיות, ולא צריך לתכן ולדאוג לייצור כל שכבות הסיליקון בשבב הסופי. הדבר מאיץ ומוזיל את התכנון בהשוואה ל-ASIC, ומייעל את הביצועים בהשוואה ל-FPGA.

מה אינטל מתכננת?

טכנולוגיית EMIB שמקנמרה הזכיר בפוסט, פותחה על-ידי חברת אלטרה כדי לשלב מספר שבבי סיליקון במארז יחיד, בפורמט שקיבל את הכינוי 3D System-in-Package. יכול להיות שהוא רומז על דור חדש של רכיבים, המאפשרים פיתוח מהיר של מערכות גדולות מאוד על-גבי שבב (SoC) הכוללות מספר רב של פיסות Structured ASIC נפרדות, ואפילו שילוב שלהן עם FPGA, במארז יחיד. הטכנולוגיה המתקדמת ביותר של eASIC מיושמת בפלטפורמת Nextreme-3S, בגיאומטריה של 28nm CMOS. היא מאפשרת ליישם מעגלי תקשורת הפועלים בקצב של עד 28Gbps ולייצר שבבים בטכנולוגיית הייצור של TSMC, שהם שווי ערך למעגל ASIC הכולל 18 מיליון רכיבים לוגיים (Cells).

אתגר הדור החמישי מעבר לפינה

חברת אינטל נכנסה לתחום הרכיבים המיתכנתים בשנת 2015 כאשר היא רכשה את חברת Altera תמורת 16.7 מיליארד דולר. עד היום זוהי עיסקת הרכישה הגדולה ביותר בתולדותיה של אינטל. העיסקה הזו נולדה מהצורך לספק תשתיות מיחשוב מסוג חדש לעולם הענן והשרתים, אשר דרשו יכולות עיבוד מהירות שמעבדי CPU סטנדרטיים אינם מסוגלים לספק. כעת נראה שעיסקת eASIC קשורה להתפתחות נוספת בשוק: "טכנולוגיית structured ASIC", כתב מקנמרה, "תאפשר לנו לספק פתרונות ללקוחות הדורשים ביצועים גבוהים בהספק נמוך, כפי שהם נדרשים לעשות בשווקים כמו הדור הסלולרי הרביעי והדור החמישי (5G) ובשוק האינטרנט של הדברים (IoT)".

לכתבות נוספות על חטיבת הרכיבים המיתכנתים של אינטל: Intel FPGA