הטכנולוגיות החדשות משנות את מודל הפעילות של יצרניות EDA

12 ינואר, 2022

סטיב מקדולנד, סינופסיס: "חברות-ענק טכנולוגיות מעוניינות לפתח את השבבים שלהן בכוחות עצמן כדי להשיג שליטה מלאה בקניין הרוחני, ובתהליך הוצאת המוצרים לשוק"; ארכיטקטורת RISC-V לא צפויה להוזיל עלויות

בתמונה למעלה: סטיב מקדונלד, סגן נשיא למכירות אירופה בחברת סינופסיס

השינויים העסקיים והטכנולוגיים בתעשיית השבבים משפיעים על האופן שבו יצרניות תוכנות ה-EDA עובדות מול התעשייה. בראיון ל-Techtime אמר סגן נשיא למכירות אירופה בחברת סינופסיס (Synopsys), סטיב מקדונלד, שהגידול במספר הטרנזיסטורים בשבב מייצר מגמות עסקיות חדשות ודורש מיצרניות כלי הפיתוח לספק תמיכה גדולה יותר בלקוחות. "חברות-ענק טכנולוגיות מעוניינות לפתח את השבבים שלהן בכוחות עצמן כדי להשיג שליטה מלאה בקניין הרוחני ובתהליכי הוצאת המוצר לשוק.

"ביצועים גבוהים דורשים התאמה מלאה של החומרה והתוכנה. לכן אנחנו רואים שאחת מהחברות הטכנולוגיות המובילות בשוק משתמשת במערכת הפעלה אחת על-גבי אבזרים שונים, והדבר הזה מתאפשר בזכות השליטה שלה בשבב המעבד. כבר בשנת 2015 שמנו לב שהחברות הגדולות רוצות להשיג שליטה מלאה בכל התהליך, ונערכנו לספק להן תמיכה ישירה. זוהי מגמה חזקה מאוד. אולם היעד המרכזי שלנו לא השתנה: לספק לתעשייה כלי פיתוח יעילים ובטוחים".

כיצד אתם מושפעים מתהליכי הייצור החדשים?

"בפועל, רק חברות מעטות (וגדולות) נכנסות לייצור בתהליכים המתקדמים ביותר. מודל הרישוי והתמיכה בהן הוא שונה משאר התעשייה, מכיוון שהטכנולוגיות החדשות מייצרות מורכבות גדולה מאוד של תכנוני השבבים. הדבר דורש חדשנות גם בתחום כלי הפיתוח, ואנחנו עובדים קרוב מאוד אליהן כדי לוודא שהן יכולות לבצע תיכנונים. למעשה, אנחנו נחשפים אל המידע מראש. לפני שיוצא טרנזיסטור מסוג חדש, החברות מעדכנות אותנו כדי שנתאים את כלי הפיתוח לדרישות הייחודיות של התהליך. שיתוף הפעולה הזה קיים גם עם קבלניות הייצור (foundries). קיימים קשרים הדוקים מאוד בין יצרניות הסיליקון לבין חברות ה-EDA".

מה אתה חושב על RISC-V הפתוחה?

"מדובר בארכיטקטורת פקודות, ולא במוצר ממשי. אנחנו מספקים מעבדים המבוססים על תכנון שלנו (ARC processors). החברות רוצות להוריד עלויות, אבל גם כאשר הן משתמשות בארכיטקטורת RISC-V, הן בסופו של דבר זקוקות לשירותים של מומחי תכנון שבבים, או בקניית תכנון קיים או לרכוש מעבדים שיוצרו על-ידי חברה אחרת. כך שלא ברור מהיכן יגיע החיסכון בעלויות. מבחינת סינופסיס כיצרנית כלי EDA, הכניסה של מעבדי RISC-V לשוק אינה מהותית, מכיוון שהכלים שלנו מתאימים לכל סוגי המעבדים".

מה חדש בתחום המארזים המתקדמים?

"אנחנו רואים צמיחה גדולה מאוד בתחום המארזים מרובי-השבבים. היא מתבטאת בעלייה גדולה במספר הרכיבים הבנויים במתכונת של Chiplet. התכנונים נעשים גדולים מאוד, אבל קשה מאוד לייצר שבבים גדולים, ולכן התעשייה מחפשת דרכים לפצל אותם לפיסות סיליקון קטנות העובדות ביחד במארז מאוחד. יש שוק למארזים מרובי-שבבים מבוססי PCB, בעיקר כאשר הלקוחות מעוניינים להפחית את עלויות ה-BOM (רשימת החומרים).

"בתכנונים צפופים מאוד צריך לפצל את התכנון למספר פיסות סיליקון נפרדות כדי לקבל תפוקה (Yield) טובה יותר. לדעתנו זו הגישה הנכונה יותר וזה הפתרון שבנינו. המגמה הכללית של התעשייה היתה ללכת מהכיוון של מעגלים מודפסים (PCB): למזער אותם ולשלב אותם בתוך מארזי הרכיבים. אנחנו נקטנו בגישה ההפוכה: אנחנו באים מהכיוון של השבב ומחפשים דרכים יעילות לפצל אותו לפיסות סיליקון נפרדות".

אתם מאמינים בבינה מלאכותית?

"בינה מלאכותית יכולה לממש במהירות משימות עיבוד מורכבות מאוד. בתחילת 2020 הכרזנו על פתרון DSO.ai, אשר מבצע אופטימיזציה של התכנון. למשל השגת נקודות אופטימום של הספק מול ביצועים, הספק מול תדר עבודה ועוד. בסוף אוגוסט 2021 סמסונג החליטה להשתמש גם בכלי הזה וגם במערכת PrimeShield הנעזרת בלימוד מכונה, כדי לתכנן את השבבים העתידיים שלה. אחד מהיתרונות היפים של מערכות בינה מלאכותית הוא שאלה מערכות לומדות. אנחנו יכולים להעניק להן את כל הידע שנצבר בחברה בשנים האחרונות ועל-ידי כך לשפר אותן. למעשה, אנחנו רואים שיפור מתמיד בביצועים של DSO.ai ושל PrimeShield".

 

Share via Whatsapp

פורסם בקטגוריות: חדשות , סמיקונדקטורס , תוכנה ותכנון אלקטרוני

פורסם בתגיות: EDA , סינופסיס , סמיקונדקטורס , שבבים