וובינר סינופסיס ל-SoC Security יתקיים ב-16 באפריל

ביום ג', ה-165 באפריל 2024, תקיים חברת סינופסיס (Synopsys) וובינר בנושא תכנון רכיבי SoC אמינים ומאובטחים עבור מחשבים עתירי עיבוד (HPC), ויישומי AI ו-IoT. ההדרכה המקוונת בשם Enabling SoC Security and Reliability,  תתמקד בשבבים המיוצרים בתהליך TSMC N5. היא תתקיים בשעה בשעה 18:00 לפי שעון ישראל, ותימשך 60 דקות.

Hardware security is essential for high-performance computing (HPC), AI, and Edge IoT applications when designing SoCs in advanced process nodes. These designs include Gigabits of SRAM and require storing >16Kb of repair information to meet yield requirements. Designers are facing the challenges of creating secure, reliable, and cost-effective SoC designs in a timely manner. If you are considering integrating non-volatile memory (NVM) in your next advanced node SoC design, attend this webinar to learn:

  • How inherent design needs for security, reliability, configurability, and SRAM repair are best addressed by one-time programmable (OTP) NVM
  • The options for OTP in the market and the design considerations when selecting OTP
  • How to achieve your product goals with silicon-proven OTP IP in TSMC N5, designed for security, reliability, and easy integration

למידע נוסף ורישום: Enabling SoC Security and Reliability for HPC, AI & IoT with NVM OTP IP in TSMC N5

וובינר סינופסיס לניתוח ממירי DC/DC יתקיים ב-29 בפברואר

ביום ה', ה-29 בפברואר 2024, תקיים חברת סינופסיס (Synopsys) וובינר בנושא ניתוח משוב מעגלי AC בממירי מתח ממותגים (Fast & Accurate AC Analysis for DC-DC Power Converters). הוובינר יתקיים בשעה 19:00 לפי שעון ישראל ויימשך 60 דקות. ניתוח AC משמש להערכת יציבות משובי הייצוב בספקי כוח ממותגים וברשתות של ספקים ממותגים. מקובל לנתח מרכיבי ייצוב כמו הגבר, מופע (פזה) ועכבת Middlebrook באמצעות תרשימי בודה (Bode) המיוצרות במהלך האנליזה. אולם קשה מאוד לחלץ את המידע הזה בלא להסתמך על קירוב של מודלים ממוצעים (average model approximation).

במהלך הוובינר תוצג דרך משופרת המאפשרת לנתח את הממירים באמצעות תחום התדר (frequency domain AC analysis) ולחלץ מהם במהירות וביעילות רבה את המידע הנחוץ על ההגבר והמופע, במינימום התאמות של הסימולציה ובלא צורך להשתמש במודלים מקורבים ממוצעים. ההדרכה תועבר על-ידי דטסן דייוויד טאראקאן, המשמש מהנדס יישומים של סינופסיס ויועץ טכנולוגי בכיר, בעל נסיון רב בתכנון ופיתוח ממירי DC/DC ממותגים.

למידע נוסף ורישום: AC Analysis for DC-DC Power Converters

וובינר סינופסיס לאימות תכנונים בעידן ה-AI

ביום ה’, ה-25 בינואר 2024 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום האצת האימות וניפוי השגיאות בתכנוני שבבים באמצעות טכנולגיות בינה מלאכותית המיושמות במערכת החדשה של החברה, Synopsys Verdi platform. במהלך ההדרכה יוסברו הדרכים לבצע ניפוי שגיאות ואיתור תקלות שורש באמצעות בינה מלאכותית, וכיצד לנצל את כל היתרונות של סביבת הפיתוח המשולבת (IDE).

הדוברים בוובינר:

Robert Ruiz (left), product management director of several verification products at Synopsys. Robert has held various marketing and technical positions for leading functional verification and test automation products at various companies including Synopsys, Novas Software, and Viewlogic Systems. He has more than 30 years of experience in advanced EDA technologies and methodologies and spent several years designing application-specific integrated circuits (ASICs).

Myles Glisson (right), an Applications Engineer, Sr. Staff, at Synopsys is a product and debug applications engineer with nearly 30 years of experience. He works with sales, marketing and R&D to drive the adoption of solutions in customer flows and methodologies.

למידע נוסף ורישום:

Accelerating AI-driven Debug and Verification Management with Next-Gen Verdi Platform

 

סינופסיס רוכשת את Ansys תמורת 35 מיליארד דולר

חברת סינופסיס (Synopsys) מקליפורניה הכריזה על עסקת המיזוג הגדולה ביותר בתעשיית התוכנות לסימולציה ותכנון אוטומטי של מערכות הנדסיות:  רכישת חברת אנסיס (Ansys) מפנסילווניה תמורת כ-35 מיליארד דולרים במזומן ובמניות. העיסקה צפויה לשנות את המיתוג של סינופסיס מחברת EDA בתחום האלקטרוניקה לחברת תוכנות הנדסיות וסימולציה בכל תחומי ההנדסה, הפיתוח והמדע. אם כיום המתחרה העיקרית שלה היא קיידנס (Cadence), לאחר השלמת העיסקה היא תהיה ככל הנראה המתחרה המרכזית של חברת דאסו סיסטמס (Dassault Systemes) הצרפתית.

חברת סינופסיס היא אחת מספקיות תוכנות התכנון האלקטרוני (EDA – Electronic Design Automation) ומודולי קניין רוחני (IP) לתעשיית שבבים המובילות בעולם. כיום החברה מעסיקה כ-19,000 עובדים בעולם ומכירותיה בשנת הכספים 2023 (שהסתיימה באוקטובר) הסתכמו הסתכמו בכ-5.84 מיליארד דולר. החברה נסחרת בנסד"ק לפי שווי של כ-77.7 מיליארד דולר.

חברת אנסיס מספקת תוכנות הדמייה וסימולציה הנדסית למגוון גדול מאוד של תעשיות: סימולציות פיסיקליות של נוזלים, גזים וטמפרטורות, סימולציות להערכת הביצועים של מערכות אופטיות מורכבות לפני ייצורן, תוכנות לתכנון הנדסי (CAD), תוכנות ייעודיות למטלות מוגדרות בתכנון אלקטרוני ותכנון שבבים, הדמיית נוזלים וגזים לתכנון אווירונאוטי, מערכת לבניית תאומים דיגיטליים של מערכות הנדסיות גדולות ומורכבות ועוד. החברה מעסיקה כ-5,600 עובדים. מכירותיה ב-2023 צפויות להסתכם בכ-2.3 מיליארד דולר. החברה נסחרת בנסד"ק לפי שווי שוק של כ-28.6 מיליארד דולר.

שוק יעד של 28 מיליארד דולר

נשיא ומנכ"ל סינופסיס, סאסין גאזי: "השילוב בין פתרונות ה-EDA של סינופסיס ויכולות האנליזה והסימולציה של אנסיס, יאפשר לנו לספק פתרונות המבוססים על תפישה שלמה מרמת הסיליקון ועד רמת המערכת". להערכת סינופסיס, המיזוג בעקבות המיזוג יצמח שוק היעד הכולל שלה (Total Addressable Market) פי 1.5 להיקף של כ-28 מיליארד דולר בשנה. העיסקה תביא לחיסכון סינרגטי של כ-400 מיליון דולר ולהגדיל את מכירות החברה המשולבת בכמיליארד דולר בשנה (לכ-9-10 מיליארד דולר).

העיסקה תתבצע במזומן ובמניות: סינופסיס תשלם 19 מיליארד דולר במזמן ממקורות עצמיים ומחוב בנקאי בהיקף של כ-16 מיליארד דולר. שאר העיסקה יתבצע במניות לפי שער המעניק לבעלי המניות של אנסיס מחיר פרימיום של 35% מעל מחיר המנייה הממוצע בחודשיים האחרונים. עם סיומה הם יחזיקו בכ-16.5% ממניות החברה הממוזגת. העיסקה צפויה להסתיים במחצית הראשונה של 2025, לאחר אישור אסיפת בעלי המניות של אנסיס וקבלת האישורים הרגולטוריים.

וובינר סינופסיס לתקן Chiplet UCIe יתקיים ב-8 בפברואר

ביום ה’, ה-2 בפברואר 2024 בשעה 19:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום האימות וההתמודדות עם תקן הקישוריות בין אריחי סיליקון נפרדים בתוך השבב, Chiplet Interconnect Express – UCIe. הגרסה הראשונה של התקן (v1.0) הוצגה בחודש מרץ 2022 והעידכון הראשון (v1.1) פורסם בחודש יולי 2023. התקן מבטא דרישה גדולה מאוד בשוק לתקן חיבוריות פתוח שיאפשר לחברות לפתח שבבים חזקים יותר וחסכוניים באנרגיה.

העידכון לתקן הוסיף לו יכולת לספק מענה לצרכים מרכזיים: תוספות ייעודיות לתעשיית הרכב, שימוש בפרוטוקולי הזרמת נתונים, התאמת התכנון למארזים מתקדמים והוספת יכולות לביצוע בדיקות הסמכה של התכנון ושל השבב. וובינר Addressing UCIe 1.1 IP and System Level Verification Challenges יתמקד בשיקולי תכנון מרכזיים שיאפשרו לעמוד בדרישות האימות של התכנון, וסקירה של פתרונות סינופסיס המאפשרים לבצע את מטלת התכנון המורכבת של רכיבי UCIe 1.1.

הדוברים בוובינר:

Varun Agrawal (right), Sr Staff Product Manager at Synopsys. Varun has 15 years of experience in IP to System Level Functional Verification with expertise in Simulation, Emulation and Virtualization domain. Prior to Product Management, Varun led R&D projects in Virtualization over Emulation and worked in various development and customer facing roles at multiple design and EDA companies.

Divya Jindal (left) is a Product Engineer for UCIe VIP with 7+ years of experience working on Verification solutions for Memory protocols and UCIe. Divya is working on Synopsys UCIe IP for in-house validation.

למידע נוסף ורישום:

UCIe 1.1 IP and System Level Verification Challenges

סינופסיס הכריזה על משפחת מעבדי RISC-V

חברת סינופסיס (Synopsys) הוסיפה קו חדש של מעבדים המבוססים על ארכיטקטורת RISC-V הפתוחה, אל משפחת ה-IP של מעבדי ARC מתוצרתה. הסדרה החדשה קיבלה את הכינוי ARC-V. המעבדים החדשים ניבנו על בסיס המיקרו-ארכיטקטורה של מעבדי ARC הקיימים. הם מופיעים בגרסת 32 סיביות ובגרסת 64 סיביות ומיועדים ליישומים משובצים בתחומי הרכב, האיחסון וה-IoT. החברה מסרה שהמעבדים החדשים מיועדים לטווח רחב של דרישות, החל ממוצרים חבכוניים בהספק וכלה במערכות הדורשות מעבדים עתירי ביצועים.

הם נתמכים על-ידי ערכת כלי הפיתוח Synopsys MetaWare וחבילת ה-EDA ממשפחת Synopsys.ai הנתמכת במערכת בינה מלאכותית ותומכת בפיתוח מערכות על-גבי שבב (SoC). "מעבדי RISC-V צוברים פופולריות בתעשייה", אמר סגן נשיא בכיר למוצרי IP בסינופסיס, ג'ון קוטר. "ה-IP שפיתחנו על בסיס RISC-V נועד לסייע ללקוחות להתמודד עם מגוון של עומסי עבודה". בין השאר המשפחה כוללת מודול מאובטח בשם Synopsys ARC-V FS, אשר כולל תכונות בטיחות חומרה בכדי לזהות שגיאות מערכתיות, לתמוך ברמות בטיחות ASIL B ו-ASIL D ולהאיץ קבלת הסמכות ISO 26262 ושל ISO 21434 לאבטחת סייבר בכלי רכב. ה-IP למעבד ARC-V FS מפותח על בסיס מערכת ניהול האיכות (QMS) של סינופסיס, המוסמכת ל-ISO-9001.

בנוסף, מערך כלי MetaWare Development Toolkit for Safety נועד לסייע למפתחי תוכנה להאיץ את הפיתוח של קוד המציית לתקן -ISO 26262. המעבדים מופיעים ביחד עם שירות Synopsys Cloud SaaS עם גישה מבוססת דפדפן, עבור כמות בלתי מוגבלת של רישיונות EDA ואוטומציה מלאה של ניהול הרישיונות למתכנני שבבים. במקביל, סינופסיס הכריזה שהיא הצטרפה למועצה המנהלת ולצוות ההיגוי הטכני של ארגון RISC-V International, כדי לתמוך באימוץ הארכיטקטורה בתעשייה ולהשתתף בהגדרת התקן בעתיד.

המעבדים החדשים יהיו זמינים בשוק החל מ-2024. ה-IP למעבד המשובץ Synopsys ARC-V RMX מיועד להיות זמין ברבעון השני של 2024. ה-IP למעבד זמן-אמת Synopsys ARC-V RHX בעל 32 סיביות ו-64 סיביות יהיה זמין במחצית השנייה של 2024. ה-IP למעבד המארח Synopsys ARC-V RPX מיועד להיות זמין במחצית השנייה 2024.

סינופסיס ומיקרוסופט מספקות פתרון AI לתכנון שבבים בענן

בתמונה למעלה: שנקר קרישנמורטי, מנהל קבוצת ה-EDA בחברת סינופסיס

חברת סינופסיס (Synopsys) הכריזה על פתרון Synopsys.ai Copilot המיועד להאיץ את תכנון השבבים באמצעות שימשוש בבינה מלאכותית יוצרת (GenAI). היכולת החדשה היא תוצר של שיתוף פעולה אסטרטגי עם חברת מיקרוסופט שמטרתו לשלב את שירות Azure OpenAI של מיקרוסופט אשר כולל תשתית GenAI בענן, ולהתאים אותו לתהליך תכנון של שבבים, הנחשב לאחד מהאתגרים ההנדסיים המורכבים ביותר הקיימים היום.

שירות Azure OpenAI מספק ללקוחות גישה אל  מודלי Large Language Models – LLM, באמצעות הענן Azure. שתי החברות שיתפו פעולה בפיתוח Synopsys.ai Copilot באמצעות שילוב יכולות הבינה המלאכותית היוצרת של Azure OpenAI Service עם כלי תכנון השבבים וה-IP של סינופסיס. ההכרזה הזאת היא המשך לאסטרטגיית התכנון בענן שאותה חשפה סינופסיס בשנת 2022, כאשר הציגה את פתרון SaaS EDA הראשון בשוק המונע על-ידי Microsoft Azure.

"תעשיית הסמיקונדקטור נמצאת במירוץ לפיתוח כוח חישוב מהיר ויעיל", אמר שנקר קרישנמורטי, מנהל קבוצת Electronic Design Automation-EDA בחברת סינופסיס. "במקביל, אנחנו צפויים למחסור של 30%-15% בכוח עבודה של מהנדסי תכנון שבבים עד שנת 2030. "תכנון המונע על-ידי AI יכול לעזור לטפל באתגרים אלה".

בינה מלאכותית גמישה

פתרון Synopsys.ai Copilot עובד לצד מתכננים המשתמשים בכלי סינופסיס מדי יום, ומספק בינה מלאכותית שיחתית בשפה טבעית, לרוחב צוות התכנון. מדובר בפתרון הראשון מבין קו מוצרים בעלי יכולות בינה מלאכותית יוצרת שתספק סינופסיס. הוא מיועד ללמוד כישורים חדשים ולצמוח ביחד עם צורכי הצוות התכנון, ומסייע בכל שלבי התכנון, החל מחקירת ארכיטקטורת המערכת, דרך התכנון ועד לייצור. הפתרון ניתן לפריסה באתר החברה או בסביבת מחשוב ענן והוא משלב את תשתית המחשוב עתירת הביצועים ועם הזמינות של Microsoft Azure. שיתוף הפעולה מבוסס על מיקוד משותף בבניית מערכות AI אחראיות, בטוחות וראויות לאמון. פתרון Synopsys.ai Copilot זמין כעת ללקוחות ראשונים.

למידע נוסף: Synopsys.ai

וובינר סינופסיס לתכנון קישוריות (DFT) יתקיים ב-28 בנובמבר

ביום ג’, ה-28 בנובמבר 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום תכנון לבדיקתיות של הקישורים בין המודולים בתוך השבב (Design For Test – DFT). המורכבות הגוברת של מערכות על-גבי שבב (System-on-Chip -SoC) תכנון ובדיקה קפדניים של תזמוני שעון מרובים, מעטות הספק שונות, מודלים שונים של בדיקות ואיתור תקלות ועוד. הוובינר יתקיים במשך 60 דקות ויתמקד בדרך באתגרים אלה וכיצד למצוא להם מענה באמצעות Synopsys TestMAX Advisor.

הדוברים בוובינר:

Ramsay Allen (right),  Senior Product Manager in the Synopsys EDA Group (EDAG). Before joining Synopsys he was the Marketing Manager at Moortec Semiconductor Ltd, who were global leaders for advanced node embedded in-chip monitoring solutions. Moortec were acquired by Synopsys in November 2020 and the well-established monitoring IP now forms part of the foundation of the Synopsys SLM platform.

Tushar Jeevan, R&D Manager in the Hardware Analytics and Test Group at Synopsys. He joined Synopsys in 2015 through the acquisition of Atrenta. He has been working in the EDA industry for the last 9 years focused in the areas of software-driven automation technologies. Tushar has a bachelor’s degree in Electronics and Communication from Delhi College of Engineering.

למידע נוסף ורישום: DFT Connectivity Validation

וובינר סינופסיס לקישוריות מרכזי נתונים יתקיים ב-29 בנובמבר

ביום ד’, ה-29 בנובמבר 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) את הוובינר השני מתוך סדרה של שלושה וובינרים בתחום הקישוריות המהירה במרכזי נתונים גדולים (hyperscale) חדשים. מרכזי נתונים מהדור החדש צריכים לתמוך בכמויות מידע גדולות מאוד. הדבר דורש העברת מידע ברוחב פס של 400G באמצעות 112G Ethernet, בעוד שהדור הבא מתוכנן לקצבי תעבורה של 224Gbps המיושמים באמצעות מתגי 800G/1.6T. סדרת הוובינרים מוקדשת להבנת הדרישות מהמשדרים וכיצד להתמודד עימן.

 

Part II: Wednesday, November 29, 2023

  • High Order Multiplexers
  • FFE Equalization
  • DSP-DAC Based TX Architectures
  • 1-UI Pulse Generation Circuits

Speaker: 

Noman Hai, Analog Design Manager at Synopsys where he is involved in designing high speed interface IP circuits. His current interests include high speed I/O circuits, design methodology and automation, and mixed-signal circuits. He holds three U.S. patents.

למידע נוסף והרשמה:

CMOS Circuit Techniques for Wireline Transmitters Part II

וובינר סינופסיס לתקנות אבטחת רכב יתקיים ב-12 בדצמבר

ביום ג’, ה-12 בדצמבר 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום העמידה בדרישות האבטחה של תקן תעשיית הרכב  ISO/SAE 21434, המוגדר גם כ-Road VehiclesCybersecurity Engineering standard. התקנים החדשים מגדירים את רמת האבטחה הנדרשת מרכיבים המשמשים בתעשיית הרכב החכם.

הדרישה הזאת הופכת לגורם מרכזי בתהליך התכנון של שבבים עבור מכוניות מוגדרות תוכנה (Software Defined Vehicle – SDV) שיש בהן רשתות תקשורת פנימיות דוגמת Bluetooth, WiFi, 5G cellular, USB עוד, ועבור מערכות הדורשות עדכוני תוכנה שוטפים (OTA) באמצעות תשתיות תקשורת כמו Bluetooth, WiFi, 5G cellular, USB וכדומה. במהלך הוובינר יוצגו היסודות של תקני ISO/SAE 21434 ויתקיים דיון בשאלה כיצד הדבר משפיע על התכנון והאבטחה של קניין רוחני (IP) בשבבים המיועדים לתעשיית הרכב.

הדוברים בוובינר:

Moderator (right): Amanda Hosey, Editor, SAE Media Group

Fred Roberts (center), Senior Manager, IP CyberSecurity, Synopsys

Bill Mazzara (right), Subcommittee Chair, SAE Vehicle Electrical System Hardware Security Committee

למידע נוסף ורישום:

The Path to ISO/SAE 21434 Cybersecurity Compliance

סינופסיס הצטרפה לתוכנית Arm Total Design

חברת סינופסיס הודיעה על הצטרפותה לתוכנית שיתוף הפעולה Arm Total Design, כדי לספק מודולי קניין רוחני (IP) ושירותי תכנון בעזרת חבילת EDA Synopsys.ai עבור לקוחות המפתחים שבבים המבוססים על פלטפורמת העיבוד Arm Neoverse, אשר מיועדת למטלות עתירות עיבוד ובנויה ממלים באורך של 64 סיביות. ההסכם בין שתי החברות מספק ל-Arm גישה למוצרי ה-IP של סינופסיס עבור בדיקות תאימות הדדית וניתוח ביצועים בשלבי התכנון וכן תאימות הדדית בין מערכות הדגמה של הסיליקון לבין כל המעבדים ותתיהמערכות של חברת Arm.

תוכנית Arm Total Design היא מערך שיתוף פעולה ואספקת פתרונות שבה משתתפים השתופים העסקיים של Arm אשר נועדה לקדם את השימוש בפלטפורמת Neoverse באמצעות אספקת מודולים ברמת בשלות גבוהה מאשר מקובל היום כאשר רוכשים IP לצורך תכנון שבבים. הרעיון קיבל את השם Neoverse Compute Subsystems – CSS. במסגרת הזאת הלקוחות מקבלים קניין רוחני במתכונת נוחה וקלה יותר לשימוש, כמו למשל תכנונים הכוללים כבר מספר מודולים שונים שעברו אימות בדרגות שונות, ומותאמים לצרכים שונים בדטה סנטר.

הדבר דומה במידה רבה לרעיון של תכנוני ייחוס שאותו מספקים יצרני רכיבים. אחד מיעדי שיתוף הפעולה בין שתי החברות הוא התאמת התכנונים לתהליכי ייצור מתקדמים, כולל שבבים שייוצרו בטכנולוגיות תהליך הממוזערות ביותר, כמו למשל 2 ננומטר. מנהל קבוצת ה-EDA של סינופסיס, שנקר קרישנמורטי, אמר ששיתוף הפעולה עם Arm, "מרחיב את גבולות הביצועים ויעילות ההספק עבור תכנוני multi-die (שבבים מרובי אריחים) בטכנולוגיות תהליך מתקדמות".

סינופסיס מציעה IP של מעבדי RISC-V

במקביל להעמקת שיתוף הפעולה עם Arm, מחזקת סינופסיס את חטיבת קניין הרוחני שלה עצמה, ומוסיפה אופציה חדשה של מעבדים מבוססי הארכיטקטורה הפתוחה RISC-V. החברה הודיעה שהיא מוסיפה אפשרות חדשהל משפחת מעבדי ARC שהיא מספקת, הכוללת את מעבדי ARC-V, אשר מבוססים על ארכיטקטורת RISC-V. המעבדים החדשים בנויים בתצורה של 32 סיביות ו-64 סיביות. אחד משוק היעד המרכזיים של המעבדים החדשים הוא שוק הרכב. מנהל תחום המיקרו-בקרים לתעשיית הרכב בחברת אינפיניאון, תומאב בוהם, אמר שהצורך בסביבת תמיכה יציבה ואמינה, דוחף א תעשיית הרכב לאמץ את ארכיטקטורת RISC-V. "באמצעות IP של מעבדי RISC-V קלים להסמכה רגולטורית, סינופסיס תומכת בתעשיית הרכב".

וובינר סינופסיס לאימות RISC-V יתקיים ב-21 בספטמבר

ביום ה’, ה-21 בספטמבר 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום האימות של תכנוני RISC-V ובמיוחד של תכנונים הכוללים הרכבות של הארכיטקטורה (RISC-V ISA extensions). היכולת להוסיף ולהתאים פקודות ואלמנטים נוספים לארכיטקטורת של RISC-V מייצר אתגרים מורכבים בתחום האימות והבדיקה של שבבים המבוססים עליה. במסגרת ההדרכה יוצג פתרון מבוסס RISCV-DV ויוסבר כיצד לייצר סדרת פקודות בדיקה לביצוע האימות. הן יודגמו באמצעות הרצתן על-גבי מעבד Bluespec באמצעות הסימולטור Synopsys VCS, והשוואתן לתוצאות Spike ISS.

למידע נוסף ורישום: Bluespec RISC-V Processor Verification

This presentation will showcase:

  • A reference methodology for verifying a Bluespec RV32IMC MCU-X processor core based on RISCV-DV.
  • How designers can leverage Synopsys VCS simulation and Synopsys Verdi® for debug and relevant advanced coverage methodology, to help speed verification signoff.
  • The combination of RISCV-DV and Synopsys tools provides a powerful and flexible solution for RISC-V verification and highest coverage.
  • Demo on the RISC-V verification solution on the Synopsys Cloud platform.

הדוברים בוובינר:

מימין לשמאל: בראבה קרישנהסוואמי וביפול טלוקדאר
מימין לשמאל: בראבה קרישנהסוואמי וביפול טלוקדאר

Bipul Talukdar is Senior Director of Applications Engineering for Bluespec Inc. He is an expert in hardware functional verification with a specialty in verification IP (VIP) development, formal property verification, and hardware emulation. He leads Application Engineering and Support for Bluespec.

Prabha Krishnaswami is an Application Engineer at Synopsys, focusing on VCS and Verdi products. She also collaborates with the global RISC-V customers to showcase the Synopsys RISC-V solution.

למידע נוסף ורישום: Bluespec RISC-V Processor Verification

 

וובינר סינופסיס לאימוץ קישוריות 100G/200G במרכזי נתונים

ביום ג’, ה-19 בספטמבר 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום ממשקים אלקטרו-אופטיים במהירויות של 100G/200G כאמצעי לבניית מרכזי נתונים חסכוניים באנרגיה. תשתיות תקשורת מבוססות כבלי נחושת לא מצליחים לעמוד בדרישה הגוברת למהירות העברת נתונים ולזמני השהייה קצרים. כתוצאה מכך נכנסים ממשקים אופטיים אל תוך מרכזי הנתונים ומחליפים בהדרגה את ממשקי הנחושת האיטיים וזוללי האנרגיה.

השימוש בערוצי 100G/200G SerDes טלקטרו-אופטיים מאפשר לפתח ארכיטקטורות חדשות וגמישות של מרכזי נתונים מהירים וחסכוניים באנרגיה. במהלך הוובינר שיימשך 60 דקות, תינתן סקירה על המגמות בתחום התכנון האופטי, התפתחות ממשקי SerDes מהירים ותודגם החשיבות של ביצוע סימולציות של נקודות המפגש בין אופטיקה ואלקטרוניקה.

הדוברים בוובינר:

מימין לשמאל: סטיבן אלסטון, קייבן ג'אבאדי וג'יגש פאטל
מימין לשמאל: סטיבן אלסטון, קייבן ג'אבאדי וג'יגש פאטל

Jigesh Patel is Sr. Technical Marketing Manager for photonic design automation tools at Synopsys. Jigesh has 20+ years of experience in fiber optics and communication systems. Keivan Javadi Khasraghi is a Staff Technical Marketing manager for Synopsys High-Speed Serdes PHY and  D2D IP portfolio. Keivan has over 8 years of experience in the application of mixed-signal IC and electro-optical components for data centers and SOCs. Steven Alleston is a Senior Director for Business Development at OpenLight Photonics who have developed an open silicon photonics platform with integrated lasers and other active components to address the needs of multiple applications including Datacom, High Performance Compute and LIDAR.

למידע נוסף ורישום: 100G/200G Electro-Optical Interfaces

וובינר סינופסיס בנושא תיקוני תכנון (ECO) יתקיים ב-26 ביולי

ביום ד’, ה-26 ביולי 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בנושא מתודולוגיית ביצוע תיקונים של הרגע האחרון בתכנוני מעבדים (RTL engineering change order – ECO), אשר תודגם על-גבי מעבדי RISC-V בעלי עוצמת עיבוד גדולה. מתודולוגיית ECO מאפשרת לבצע תיקונים מקומיים של הרגע האחרון בלא צורך לחזור על כל תהליכי האימות של התכנון השלם. במסגרת ההדגמה יוצגו היכולות של מערכת Formality ECO של חברת סינופסיס.

Synopsys Formality ECO offers an efficient and accurate solution for RTL ECO by automating the comprehensive ECO flow, analysing differences between original RTL and ECO RTL, generating patches, and validating changes. Formality ECO also supports aggressive RTL optimization techniques, including retiming and auto ungrouping, while providing advanced analysis and debug features to streamline the ECO process. In this presentation, we will explore the advantages of Synopsys Formality ECO on the overall ECO cycle including enhanced patching and faster verification runtime leading to improved TAT.

Speakers:

Vivek Upadhyaya (right), Technical Director at SiFive in Physical Implementation Group. His previous roles encompassed notable companies such as Broadcom, Cadence, Intel, and STMicroelectronics, focusing on RTL-to-GDSII implementation. He is graduate from CCS University Meerut, UP.

Rupali Kale Gaikwad, Staff Engineer at Synopsys. Over a span of 12 years, she has worked on various advanced node ASIC designs of different sectors including automotive, networking, modem, healthcare, high speed CPU implementation. In Synopsys she is responsible for Ecosystem customer success for complete RTL2GDS solution.

למידע נוסף ורישום:

וובינר סינופסיס לבדיקת תכנונים יתקיים ב-23 באוגוסט

ביום ד’, ה-23 באוגוסט 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום תכנון מערך הבדיקות לצורך בדיקת איכות התכנון של שבבים Optimize test Quality of Results – QoR). התהליך המקובל היום בתעשייה מבוסס על ניסויים רבים ובדיקות חוטזרות, וסובל מיעילות נמוכה ומאי-ודאות גדולה. אלא שבעידן שבו השבבים נעשים גדולים מאוד ומורכבים מאוד, המהנדסים כבר לא יכולים לסמוך על המתודולוגיות הקיימות. במהלך הוובינר יוצגו התפישה והיתרונות של מערכת Synopsys TSO.ai החדשה (Test Space Optimization AI) המאפשרת לקצר דרמטית ולייעל את התהליך.

מנחה הוובינר:

Rahul Singhal, a Product Manager for Synopsys TestMAX DFT, Synopsys TestMAX ATPG and Test-AI products at Synopsys. His focus is on industry requirements and solutions in the areas of test compression, test streaming solutions and ATPG. He has co-authored multiple tutorials, papers, posters on test in leading IEEE conferences. Rahul received his MS in Electrical Engineering from Portland State University and BS in Electrical Engineering from Purdue University.

למידע נוסף ורישום:

Optimize Test QoR & TTM with AI-Driven Technology

וובינר סינופסיס לאימות תכנונים מבוסס AI יתקיים ב-2 באוגוסט

ביום ד’, ה-7 באוגוסט 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום האימות של תכנוני שבבים המבוסס על מערכת לימוד המכונה  Synopsys VSO.ai. כיום מוקדשים כ-70% מזמן הפיתוח לאימות נכונות התכנון ולניפוי טעויות. מדובר במשימה ידנית ברובה, אשר נעשית קשה מיום ליום עקב הצמיחה בגודל ובמורכבות השבבים.

This Synopsys webinar will introduce and explore the Synopsys VSO.ai™ solution, Verification Space Optimization, using machine learning technologies to identify and eliminate redundancies in regressions, automate coverage root cause analysis, and infer coverage from RTL and stimulus to identify coverage gaps and provide coverage guidance.

Speakers:

Will Chen (right), Principal Applications engineer in Synopsys’ Customer Success EDA Group. Will has over 20 years of experience with account and project management for functional verification. He has recently been focusing on using AI/ML to improve verification efficiency and productivity.

Taruna Reddy (left), Staff Product Manager in the EDA Group at Synopsys. Taruna has 18 years of experience in EDA and functional verification. Prior to joining Synopsys, Taruna held field applications and verification engineering positions at Mentor Graphics, Verilab and Xtreme-EDA.

למידע נוסף ורישום:

Accelerate Coverage Closure with Synopsys VSO.ai

וובינר סינופסיס ל-1.6T Ethernet יתקיים ב-18 ביולי 2023

ביום ג’, ה-18 ביולי 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום התכנון של פתרונות איתרנט מהירים מסוג 1.6T Ethernet, ובקישוריות הרשת באמצעות 224G SerDes. ההרצאה תתמקד באתגרים ובפתרונות של תכנוני Medium Access Control Layer – MAC עבור 224G Ethernet PHY IP, ותציג את הדרכים בהם ניתן להשתמש במערכת Synopsys MAC IP כדי להתמודד עם האתגר.  הוובינר יימשך 60 דקות ומיועד לארכיטקטים בתחום השבבים ולכל מי שעוסק בפיתוח ותכנון שבבים. ההשתתפות בוובינר היא בחינם, אולם דורשת רישום מראש.

הדוברים בוובינר:

ג'ון סוונסון (משמאל) וג'ון איימס
ג'ון סוונסון (משמאל) וג'ון איימס

המהמהמ

John Swanson is the HPC Controller & Datapath Product Line Manager in Synopsys' Solutions Group. John has worked in the development and deployment of verification, integration, and implementation tools, IP, standards, and methodologies used in IP based design for over 25 years. His assignments have encompassed engineering, methodology, business development, and marketing. John has also been involved in various standards activities including Chair of the Verification Technical Working Group in the SPIRIT Consortium; Synopsys representative on the Power.org technical subcommittee and is active in many IEEE and consortium groups today.

Jon Ames is a Sr. Staff Product Marketing Manager for the Synopsys Ethernet Controller IP portfolio.  Jon has been working in the communications industry since 1988 and has led engineering and market activities from the early days of 10/100 Switched Ethernet through Metro and Transport variants to the latest Data Center and High-Performance Computing Ethernet technologies.  Since graduating in Computer Science and Electronic Engineering the in UK, Jon has worked at the leading companies in the networking and silicon solution industries.

למידע נוסף ורישום:

Key MAC Considerations for the Road to 1.6T Ethernet

וובינר סינופסיס לתכנון מרובה-אריחים יתקיים ב-26 באפריל 2023

ביום ד’, ה-27 באפריל 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) וובינר בתחום התכנון של ארכיטקטורת שבבים מרובי-אריחים (multi-die) המתבססים על מארג התקשורת הפנימי CoreLink CMN-700 של חברת Arm. המארג הזה תוכנן עבור רכיבי SoC מורכבים מאוד, דוגמת אלה המצויים במרכזי נתונים. במסגרת הוובינר יוצגו הדרכים לבנות מודל ושיפור הארכיטקטורה באמצעות מערכת Synopsys Platform Architect. הוובינר יימשך 60 דקות ומיועד לארכיטקטים בתחום השבבים ולכל מי שעוסק בפיתוח ותכנון שבבים. ההשתתפות בוובינר היא בחינם, אולם דורשת רישום מראש.

הדוברים בוובינר:

הולגר קיידינג (מימין) וברי ספוטס
הולגר קיידינג (מימין) וברי ספוטס

Barry Spotts is a Field Application Engineer at Arm, specializing in semiconductor interconnect solutions. Barry works directly with Arm partners to assist in developing a IP strategy for their semiconductor architecture project requirements. He works in tandem to bring design solutions to meet their project goals in relation to ARM Based Subsystems and Interconnect.

Holger Kading is a Solutions Application Engineer in the Systems Design Group at Synopsys, focusing on Virtual Prototyping for early SoC architecture exploration and optimization. Holger is working with Synopsys customers and partners worldwide on system-level virtual prototyping solutions for early architecture exploration, performance and power analysis, and system validation.

למידע נוסף ורישום:

Multi-die Data Center Chip Designs with Arm CoreLink CMN-700 and Synopsys Platform Architect

וובינר סינופסיס ל-Ethernet 1.6T יתקיים ב-27 באפריל 2023

ביום ה’, ה-27 באפריל 2023, תקיים חברת סינופסיס (Synopsys) וובינר בתחום ההיערכות לרשתות איתרנט מהירות מאוד ופיתוח פתרונות איתרנט חדשים המבוססים על Synopsys 224G Ethernet PHY IP. במסגרת ייבחנו ההשפעות של הדרישה לעוצמת עיבוד גבוהה על פתרונות הקישוריות ועל הדרישות מ-1.6T Ethernet. בין השאר, יוצגו המגמות המרכזיות בתחום האיתרנט 1.6T מצב התקנים, ממשקים אלקטרו-אופטיים חדשים עבור הרשתות החדשות והכרת היכולות של Synopsys 1.6T/800G Ethernet IP בפיתוח מוצרים חדשים לשוק הזה.

הדוברים בוובינר:

ג'ון סוונסון (משמאל) ופריאנק שוקלה
ג'ון סוונסון (משמאל) ופריאנק שוקלה

John Swanson, HPC Controller & Datapath Product Line Manager in Synopsys Solutions Group. John has worked in the development and deployment of verification, integration, and implementation tools, IP, standards, and methodologies used in IP based design for over 25 years. John has also been involved in standards activities including Chair of the Verification Technical Working Group in the SPIRIT Consortium; Synopsys representative on the Power.org technical subcommittee and is active in many IEEE and consortium groups.

Priyank Shukla, Sr. Staff Product Manager for the Synopsys High Speed Serdes IP portfolio. He has broad experience in analog, mixed-signal design with strong focus on high performance compute, mobile and automotive SoCs. He is a contributing member to 802.3dj task force and a IEEE802.3 voter. Priyank has a US patent on low power RTC design.

למידע נוסף ורישום: The Path to 1.6TbE with 224G Ethernet PHY IP

וובינר סינופסיס לבדיקת הספק ה-SoC, ב-7 בפברואר 2023

ביום ג’, ה-7 בפברואר 2022, תקיים חברת סינופסיס (Synopsys) וובינר משותף עם SemiWiki המוקדש לתחום ניתוח הספק מבוסס RTL במסגרת הפיתוח של רכיבי SoC חסכוניים בהספק. הוובינר יתחיל בשעה 10:00 לפי שעון ישראל ויימשך 45 דקות. הדובר המרכזי בוובינר יהיה מדען ראשי לתחום Power-Estimation בחברת סינופסיס, אלכס ווייקפילד.

היעילות האנרגטית הפכה מרכיב קריטי בתכנוני שבבים, אולם למרות שטכנולוגיית ניתוח הספק מבוססת RTL מוכרת שנים רבות, הגישות המסורתיות לא מצליחות לספק מידע מדוייק דיו. במסגרת ההרצאה יציג ווייקפילד מתודולוגיות מומלצות שניתן לממש באמצעות טכנולוגיית ניתוח RTL של סינופסיס, אשר מבטיחות קבלת תובנות הספק אמינות ומדוייקות במהלך תכנון השבב.

מבנה ההרצאה:

Motivations for RTL power analysis

Basics of power consumption and associated calculations

. Key factors affecting RTL power accuracy: fast synthesis and mapping, clock tree modeling, and parasitics estimation

.Best practices to achieve good correlation and consistent accuracy

למידע נוסף ורישום: Consistent RTL Power Analysis Accuracy

וובינר סינופסיס ל-Turnaround Time of Functional ECO, יתקיים ב-9 בנובמבר 2022

ביום ד’, ה-9 בנובמבר 2022, תקיים חברת סינופסיס (Synopsys) וובינר בתחום המענה המהיר של צוותי פיתוח לשינויים של הרגע האחרון בפונקציונליות של הרכיב: Turnaround Time of Functional ECOs (Engineering Change Orders). שינויים של הרגע האחרון הם חלק בלתי נפרד ושלב בלתי נמנע בתהליך הפיתוח. התמודדות נכונה עם שינויים האלה מאפשרת לתקן באגים ולשלב פונקציות חדשות. הוובינר יתמקד בדרכים היעילות ביותר לניהול התהליך באמצעות מערכת Synopsys Formality ECO. ההדרכה המקוונת תתקיים בשעה 20:00 לפי שעון ישראל ותימשך 60 דקות.

Given the schedule pressures under which the ECO generation process operates, it is essential to: start the ECO process as soon as ECO RTL is ready, Generate a patch as fast as possible and Ensure patch accuracy and minimal disturbance to the implemented design. This approach enables Qualcomm to rapidly create compact, functionally correct, and timing-aware patches and meet its aggressive time-to-market requirements.

למידע נוסף ורישום: Achieving Fast Turnaround Time of Functional ECOs with Synopsys Formality ECO

Speakers:

Sachin Singh (left): Principal engineer at Qualcomm where he leads the design team for cutting-edge GPU projects. He has 22 years of ASIC design experience and currently specializes in RTL-GDS flows including synthesis, equivalence checking, and functional ECOs for signoff. Sachin has a master’s degree from California State University Sacramento.

Makarand Patil (right): R&D manager for Synopsys Formality and Formality ECO products. He has over 20 years of experience in logic equivalence checking technologies spanning various areas of equivalence checking, such as RTL-Gate verification flows, solver engines, front-end language support, functional ECO, datapath optimizations, and UPF aware verification flows. Makarand holds a master’s degree in Computer Engineering from University of Kansas and a bachelor’s degree from Mumbai University.

למידע ורישום: Functional ECOs with Synopsys Formality ECO

הסתיים כנס משתמשי סינופסיס בישראל, SNUG Israel 2022

במהלך כנס משתמשי סינפוסיס בישראל (SNUG Israel 2022), שהתקיים השבוע במלון דניאל בהרצליה, נחשפה את קהילת התכנון האלקטרוני בישראל לדיונים ולתובנות בנוגע לחידושים אחרונים בתחומים דוגמת תכנון שבבים, אימות וטכנולוגיות IP בתעשיית המוליכים למחצה. הדוברים סקרו תחומי צמיחה מרכזיים, דוגמת בינה מלאכותית, למידת מכונה, ענן, תעשיית הרכב, וכן workflow משלב התכנון ועד שלבי היישום.

מנכ"ל קבוצת Silicon Realization בסינופסיס העולמית, שנקר קרישנמורת'י (Shankar Krishnamoorthy), נשא את ההרצאה המרכזית בכנס. הוא הציג את המגמות המרכזיות המעצבות כיום עולמות התוכנה והשבבים. שנקר הגדיר את התקופה כעידן SysMoore, ותאר את הכוחות שהולידו את המודל החדש של חדשנות והאתגרים עמם התעשייה צריכה להתמודד.

מגמות חדשות משנות את פני התעשייה

ההרצאה המרכזית מטעם הלקוחות ניתנה על-ידי אהרון אהרון, לשעבר מנכ"ל רשות החדשנות ולשעבר סגן נשיא Apple לטכנולוגיות חומרה ומנכ"ל Apple ישראל. אהרון דיבר על אתגר הגיוס והשימור של כשרונות מקומיים ובינלאומיים בתחום השבבים. אהרון סקר את השפעת מגמות חדשות על תעשיית ההייטק הישראלית, כמו שבבים לתחום הפוטוניקה, שבבים ביולוגיים ופיזיקת קוונטים. "אני מזהה הזדמנות מלהיבה להייטק הישראלי בהובלת הדור הבא של השבבים בעידן הקוונטום", אמר.

הכנס השנתי של משתמשי סינפוסיס בישראל כלל מסלולים ייעודיים שהוקדשו לאימות תוכנה וחומרה, IP, ענן, סימולציה ו-debug, אמולציה, FPGAs, מימוש, signoff וכן תכנון analog/mixed signal. קבוצת משתמשי סינופסיס (SNUG) מייצגת מאז שנת 1991 קהילת תכנון המתמקדת בחדשנות, מסיליקון ועד תוכנה. כיום, כנס המשתמשים השנתי של סינופסיס נחשב לגדול בעולם בתחום האלקטרוניקה ומשתתפים בו למעלה מ-12,000 משתמשים בטכנולוגיה ובכלים של החברה.

חברת סינופסיס (Synopsys) מספקת כלים לתכנון אלקטרוני דוגמת EDA (Electronic Design Automation) ומודולי תכנון שבבים בדוקים ומוכנים (IP cores), וכן כלי בדיקה והשירותים לאבטחת האיכות של יישומי תוכנה.

למידע נוסף: www.synopsys.com

וובינר סינופסיס בתחום התכנון והסימולציה של רכיבי RFIC

ביום ד’, ה-17 באוגוסט 2022, תקיים חברת סינופסיס (Synopsys) וובינר בנושא התכנון, האימות ובדיקת תופעות אלקטרומגנטיות ברכיבי RFIC. ההדרכה תתמקד בחבילת הפתרונות Synopsys Custom Design, אשר מספקת כלים לביצוע סימולציה אלקטרומגנטית לצורך מידול רכיבים אקטיביים ופאסיביים ובדיקת עמידת התכנון בדרישות המערכת וברגולציה. הוובינר יתקיים בשעה 20:00 לפי שעון ישראל ויימשך 60 דקות.

למידע נוסף ורישום: Design and Verify State-of-the-Art RFICs using Synopsys / Ansys Custom Design Flow

Speakers:

Samad Parekh (left), Product Manager for Spice Simulation and Design Environment products at Synopsys. He has 10 years of experience serving as a senior member of the Synopsys Applications Engineering team supporting Analog and Custom tools. Prior to Synopsys, Samad worked as an RF designer for 6 years designing RF and microwave circuits for the cellular and aerospace markets.

Kelly Damalou (right), Product Manager for the Ansys on-chip electromagnetic simulation portfolio. For the past 20 years she has worked closely with leading semiconductor companies, helping them address their electromagnetic challenges. She joined Ansys in 2019 through the acquisition of Helic, where, since 2004 she held several positions both in Product Development and Field Operations.

More about the webinar:

The design and characterization of RF circuits is a complex process. In addition to the challenges posed by modern semiconductor processes and the growth of modern standards such as 5G, the designer must also account for electromagnetic effects that become significant at RF and mmWave frequencies. The Synopsys Custom Design Family provides a holistic way of solving the RF Designer’s challenges from seamless integration of Ansys products for passive component synthesis, and accurate, high-capacity EM modeling of critical components, simulation and post-processing of important RF measurements, to layout creation and accounting for physical effects.

למידע נוסף ורישום: Design and Verify State-of-the-Art RFICs using Synopsys / Ansys Custom Design Flow

וובינר סינופסיס בתחום אימות מונחה-בינה מלאכותית

ביום ד’, ה-27 ביולי 2022, תקיים חברת סינופסיס (Synopsys) וובינר בנושא השימוש במערכות מונחות בינה מלאכותית לביצוע בדיקות Regression Debug Automation לאחר שנעשו שינויים בתכנון השבב, במטרה להבטיח את המשך פעילותו הפונקציונלית ואיתור בעיות שורש בתכנון המעודכן. ההדרכה תתקיים בשעה 20:00 לפי שעון ישראל ותימשך 60 דקות.

למידע נוסף ורישום: AI-Driven Verification: Saving Time with Verdi Regression Debug Automation

Speakers:

Rob van Blommestein (left): Product Marketing Manager for the Verdi Automated Debug System at Synopsys. He has over 20 years of experience in marketing verification products from startups to large-scale companies.

Myles Glisson (right): Product and debug Applications Engineer with nearly 30 years of experience. He is a well-known leader in customer advocacy, building strong customer relationships, solving issues as well as providing technical advice and solutions. He works with sales, marketing and R&D to drive the adoption of solutions in customer flows and methodologies.

More about the webinar:

The Synopsys Verdi® Regression Debug Automation (RDA) is an artificial intelligence (AI) driven verification technology for automating the process of finding the root causes of failures in the design under test and test bench. In this Synopsys webinar, we will discuss the challenges engineers face during the design verification and debug phase. We will walk you through the AI-driven components of RDA to help you eliminate the manual process, save time, more quickly understand design behavior and get to the root cause of errors.

AI-Driven Verification: Verdi Regression Debug Automation

סינופסיס נערכת לצמיחת שוק הרכיבים מרובי-שבבים

בתמונה למעלה: סגן נשיא בכיר, ג'ון קוטר. "בכל ביקור בישראל אני מקבל תובנות על מגמות חדשות בתעשייה". צילום: Techtime

חברת סינופסיס (Synopsys) מעריכה שמתחולל שינוי עומק בשוק השבבים ושהתעשייה צפויה להאיץ את המעבר לשבבים גדולים הבנויים ממגוון גדול של פרוסות סיליקון מקושרות (Chiplets) המאוגדות ביחד בתוך המארז. במסגרת ההערכה הזאת היא מחזקת את שיתוף הפעולה עם חברת ARM המתחרה בה בתחום הקניין הרוחני למעבדים, ומפתחת מודולים חדשים לתקשורת פנימית בתוך השבב (Die to Die Interconnect). כך סיפר ל-Techtime מנהל שיווק קבוצת הפתרונות בסינופסיס, ג'ון קוטר.

קבוצת הפתרונות היא למעשה חטיבת קניין הרוחני (IP) ושירותי התכנון של חברת סינופסיס. אומנם החברה לא מפרסמת נתוני מכירות לפי תחומים, אולם מדו"ח חברת המחקר IPNest, עולה שבשנת 2021 הסתכמו המכירות של סינופסיס בתחום ה-IP בכ-1.076 מיליארד דולר, כמעט 20% מהשוק העולמי שנאמד בהיקף של כ-5.5 מיליארד דולר.

קוטר: "אנחנו מרגישים בהתחזקות מגמת הפירוק של רכיבי ASIC מונוליטיים לשבבים נפרדים במתכונת של Chiplets. היום ניתן לשלב רכיבי סיליקון גדולים מאוד בשטח של 800 ממ"ר, אבל זה קשה מאוד. מחקרים מראים שבקרוב יהיה צורך ברכיבים בשטח של יותר מ-3,000 ממ"ר, ולכן לא יהיה מנוס מגישה מבוססת צ'יפלטים. התעשייה הולכת בכיוון שאינטל מראה בפרוייקט המעבד הגרפי Ponte Vecchio למחשבים עתירי עיבוד, אשר כולל 63 צ'יפלטים בשבב יחיד.

האתגר המרכזי: Die to Die Interconnect

"המעבר למערכות (SoC) מרובות שבבים מעניק יתרונות רבים: ניתן לספק פונקציונליות רבה יותר בתוך השבב. למשל לשלב מודול תקשורת המיוצר בתהליך של 10 ננומטר לצד מעבדים המיוצרים ב-5 ננומטר וב-3 ננומטר. הגישה הזאת מפחיתה עלויות, מאפשרת להשתמש במודולים מוכחים, משפרת ביצועים ומוזילה את עלויות הפיתוח בכ-30%. להערכת חברת גרטנר, השוק הזה צפוי להגיע להיקף ל כ-50 מיליארד דולר בשנת 2024.

"המערכות האלה דורשות תקשורת מהירה בין פיסות הסיליקון השונות (Die to Die Interconnect). זהו תחום שבו אנחנו משקיעים ועובדים בשיתוף פעולה עם ARM, שאיתה אנחנו מתחרים בתחומי IP אחרים. אנחנו מאמינים ששוק הקישוריות בין הצ'יפלטים (D2D I/O) יהיה עבורנו שוק גדול מאוד ואנחנו עסוקים כעת בפיתוח פתרונות חדשים עבורו. המטרה היא לספק מערך שלם של פתרונות D2D I/O, דוגמת ממשקי תקשורת, ממשקי גישה לזיכרון ועוד".

ג'ון קוטר ואהוד לוונשטיין, מנכ”ל סינופסיס ישראל, עם אות הוקרה שהחברה קיבלה מהבאנה לאבס על הסיוע בפיתוח מעבדי הדור השני
ג'ון קוטר ואהוד לוונשטיין, מנכ”ל סינופסיס ישראל, עם אות הוקרה מהבאנה לאבס על הסיוע בפיתוח מעבדי הדור השני

מה הן המגמות המרכזיות האחרות בשוק שאתם רואים?

"כיום אנחנו ספק ה-IP השני בגודלו בתעשייה אחרי ARM, אבל החברה בעלת הפורטפוטליו המגוון ביותר בתחום. בכל רגע נתון יש לי מידע על 500-600 רכיבי SoC הנמצאים בפיתוח. על בסיס המידע הזה אנחנו מעריכים שכ-47% מהפרוייקטים יניבו שבבים שייוצרו בטכנולוגיות של 7 ננומטר ומטה, כאשר הדרישה לייצור בתהליך של 5 ננומטר נמצאת בעלייה. חוק מור עדיין בחיים, אבל הוא מגיע לגבולות הכלכליים שלו. תחום חזק נוסף הוא תעשיית הרכב, במיוחד מערכות ADAS. יש הרבה מאוד פרוייקטי 5 ננומטר אשר יגיעו בקרוב אל שוק הרכב.

"אולם התחום בעל הצמיחה הגדולה ביותר שאנחנו מזהים הוא של מרכזי הנתונים. יש עלייה עצומה בהיקף הפיתוחים בכל מה שקשור למרכזי נתונים: שרתים, פתרונות איחסון, כרטיסי תקשורת וכדומה. אנחנו רואים הרבה מאוד פרוייקטים בתחום של עיבוד בקצה הרשת ובתחום המתפתח של מרכזי נתונים בקצה (Edge Datacenter), במטרה לקצר את זמני ההשהייה. זהו תחום חשוב, ולכן אנחנו גם מפתחים פתרונות IP ייעודיים לקיצור זמני ההשהייה".

מה היא מטרת הביקור שלך בישראל?

"אני מגיע לישראל לפחות פעמיים בשנה. הפעם ביקרתי במרכזי פיתוח של חברות בינלאומיות גדולות ונפגשתי עם חברות סטארט-אפ. יש כאן כל-כך הרבה לקוחות וחדשנות, שבכל ביקור כזה אני מקבל תובנות חדשות על הכיוונים המרכזיים של התעשייה. כאיש שיווק האחראי על אסטרטגיה, חשוב לי להכיר את המגמות האלה".

וובינר סינופסיס בתחום Optimizing Fault Simulations

ביום ד’, ה-29 ביוני 2022, תקיים חברת סינופסיס (Synopsys) וובינר בתחום הניתוח הפורמלי של תכנוני SoC כאמצעי להאצת הבדיקות והסימולציות המרובות אשר דרושות לצורך עמידה בתקני בטיחות לכלי-רכב, דוגמת ASIL-D, שהיא רמת הבטיחות הגבוהה ביותר המוגדרת בתקן ISO 26262. ההדרכה תתקיים בשעה 20:00 לפי שעון ישראל ותימשך 60 דקות.

למידע נוסף ורישום: Optimizing Fault Simulations to Achieve ASIL Compliance for Automotive Designs

Speakers:

Tareq Altakrouri (left): Applications Engineer at Synopsys. He has been in the EDA industry for over 25 years working on verification, including simulation, assertion, formal and static technologies, and advanced verification methodologies. Currently, Tareq drives adoption of formal technology at multiple accounts in Texas.

Vaibhav Kumar (right): Senior Manager in the Digital IP group of NXP Austin. He has 15+ years of experience and has worked on IP verification, SoC verification, validation and emulation. He owns verification of several critical IPs for NXP at Austin.

More about the webinar:

Most safety critical SoCs, such as those developed for automotive systems, require ASIL-D compliance. ASIL-D is the highest grade in the ISO 26262 Standard’s risk classification system, required less than 1% Single Point Fault. According to the ISO 26262 Standard, fault campaign on the targeted designs is the recommended methodology to generate FMEDA report and safety manual as metrics to demonstrate compliance.

The typical fault injection campaign is executed using a fault simulator. This methodology often requires long fault simulation time and significant user manual effort to analyze fault classification results. Use of formal technology can help improve verification efficiency and save manual effort.

In this Synopsys webinar, we will showcase an improved fault injection campaign methodology using a memory controller IP. Fault simulation is conducted using Synopsys Z01X. Synopsys VC Formal FuSa App is used to prune safe faults, hence reducing fault simulation runtime, and refine fault classification to reduce manual analysis effort. Both technologies work off of the shared fault database.

למידע נוסף ורישום: Optimizing Fault Simulations to Achieve ASIL Compliance for Automotive Designs

וובינר סינופסיס בתחום Clock-Domain Crossing Verification

ביום ה’, ה-22 ביוני 2022, תקיים חברת סינופסיס (Synopsys) וובינר בתחום היישום של שיטות מתקדמות לניתוח ואימות אותות השעון השונים (Clock-Domain Crossing Verification) בתוך ה-SoC, המנהלים את המודולים הנפרדים שמהם השבב בנוי, ולניתוח ואימות ההשבתה של מודולים בלתי פעילים זמנית (Reset Domain Crossing) בתוך השבב. אתגרים אלה נעשים מורכבים מאוד עם המעבר לרכיבים גדולים מאוד הבנויים מתת-מודולים שונים וכוללים אבני בניין (IP Blocks) אשר מגיעים ממקורות שונים. ההדרכה תתקיים בשעה 20:00 לפי שעון ישראל ותימשך 60 דקות.

למידע נוסף ורישום: Constraints-Driven CDC and RDC Verification including UPF Aware Analysis

Speakers:

Jerome Avezou (left): Senior Staff Application Engineer in the Customer Success Group at Synopsys. In his current role, he supports static verification products, manages various customer engagements and helps architect customer flows.

Diana Kalel (middle): Hardware verification engineer working on the CDC and RDC analysis at ST Microelectronics. She is currently pursuing a PhD specializing in different structural and functional verification flows of CDC and RDC verification.

Jean-Christophe Brignone (right): Senior Member of Technical Staff (SMTS) in the field of CDC and RDC verification in the CPU division, STMicroelectronics, leading the company-wide reference flow working group.

More about the webinar:

Today’s million gates integrated circuits (ICs) involve various intellectual properties (IPs) interfacing with each other through multiple asynchronous clock and reset domains. Ensuring all clocks propagate concurrently across each clock tree components used as clock switching elements or each sequential or combinatorial component, clock output of which becomes asynchronous with respect to the clock input while maintaining predictability of design functionality requires exhaustive CDC verification.

In addition to relying on a robust design specification, it becomes imperative to take advantage of a smart EDA tool that infers all critical design paths including all clocks, clock control signals, clock domain at IP’s boundary level and even the resets for CDC or RDC paths ultimately flagging any unpredictable design behavior. VC SpyGlass CDC and RDC completely meets these verification needs by back-tracing and reporting all signals that needs to be constrained for optimized coverage of the structural verification, eventually delivering high quality of results (QoR) for CDC and RDC analysis.

Proceeding this way prevents the direct reuse of STA (Static Timing Analysis) constraints that may lead to an optimistic configuration, such as the propagation of synchronous clocks instead of asynchronous ones, or other mismatches between CDC analysis and STA, which would limit the number of the analyzed CDC paths.

In this web seminar, we will present the different steps required to manage the constraints generation and elaboration during CDC and RDC analysis. An efficient static low-power verification approach concerning low-power components defined through the UPF file directives will also be illustrated. Lastly, we will conclude by demonstrating ways to manage the different aspects of constraints using VC SpyGlass as an open tcl tool allowing the elaboration of additional and custom features increasing the QoR compared to the native platform.

למידע נוסף ורישום: Constraints-Driven CDC and RDC Verification including UPF Aware Analysis

וובינר סינופסיס להאצת תהליך Equivalence Checking

ביום ה', ה-9 ביוני 2022, תקיים חברת סינופסיס (Synopsys) וובינר בתחום היישום של שיטות חדשות לביצוע אופטימיזציה של תכנוני שבבים, אשר מאפשרות להאיץ פי חמישה את תהליכי הבדיקות והאימות כדי להשיג את המדדים הנדרשים של הספק, ביצועים ושטח השבב (PPA). ההדרכה תתקיים בשעה 20:00 לפי שעון ישראל ותימשך 60 דקות.

למידע נוסף ורישום: 5X Faster Equivalence Checking with Formality ML-driven DPX

Synopsys’ Fusion Compiler provides a broad spectrum of aggressive optimization techniques such as retiming, multibit banking and advanced data-path optimization that our designers want to take advantage of to achieve maximum PPA. Our expectation from production quality Equivalence checking is to be able to complete verification with minimal efforts and the fastest turn-around-time.

This presentation details how Formality with ML-driven Distributed Processing (DPX) delivered out of the box verification without the need to scale back optimizations or sacrifice PPA goals.

Speakers:

Avinash Palepu, Product Marketing Manager for Formality and Formality ECO products. Starting with Intel as a Design Engineer, he has held various design, AE management and Product Marketing roles in the semiconductor design and EDA industries. Avinash holds a Master’s degree in EE from Arizona State University and a Bachelor’s degree from Osmania University.

Woo Sung Choe, Principal Engineer at Samsung Electronics in the SLSI division. Over a span of 20 years, he has worked on advanced node ASIC and SoC design of AP, modem, and connectivity system engineering on various Samsung smartphone projects.

למידע נוסף ורישום: 

5X Faster Equivalence Checking with Formality ML-driven DPX

וובינר סינופסיס ליישומי Sensor Fusion במעבדי DSP

ביום ג’, ה-24 במאי 2022, תקיים חברת סינופסיס (Synopsys) וובינר בתחום יישומי התכת מידע המגיע מחיישנים באמצעות מעבדי ARC® VPX DSP. במהלכו יוצגו יכולות מיוחדות המאפשרות מיטוב של הביצועים תוך כדי התמודדות עם עומסים שונים ועם חיישנים מגוונים.  הארוע, DSP IP for High Performance Sensor Fusion on an Embedded Budget, יתקיים במתכונת מקוונת בשעה 20:00 לפי שעון ישראל. ההדרכה תועבר על-ידי פיטר ואן דר וולף, המשמש כמהנדס פיתוח בכיר בסינופסיס.

למידע נוסף ורישום:

DSP IP for High Performance Sensor Fusion on an Embedded Budget

The growing use of a variety of sensors in edge devices – from wearables to virtual assistants to automotive radar/LiDAR – requires SoCs to have an optimal balance of DSP performance and low power/area. In addition, SoC developers must be able to easily scale their hardware architectures to handle a varying number of data streams while preserving their software investment; it’s just not practical to start over when the current or next design requires a higher or lower level of throughput.

In this webinar we will highlight some of the sensor fusion applications driving the need for more efficient digital signal processing, often combining classical filtering operations and AI-based decision making. Featuring the Synopsys ARC® VPX DSP family, we will explain key functions that can be optimized to handle a spectrum of sensor fusion workloads, while adhering to a single programming environment.

Speaker: Pieter van der Wolf

Pieter is a Principal R&D Engineer at Synopsys. He received his MSc and PhD degrees in Electrical Engineering from the Delft University of Technology. He was an Associate Professor at the Delft University of Technology before joining Philips Research in 1996. In 2006 he joined NXP Semiconductors when it was spun out of Philips Electronics. In 2009 he joined Virage Logic, which was subsequently acquired by Synopsys. He has worked on a broad range of topics including multi-processor architectures and system design methodologies.

וובינר סינופסיס לאימות תכנוני ALUs יתקיים ביום ד' ה-18 במאי

ביום ד’, ה-18 במאי 2022, תקיים חברת סינופסיס (Synopsys) וובינר בתחום האימות של תכנון יחידות אריתמטיות לוגיות (ALU) באמצעות שימוש בלי האימות והבדיקה Synopsys VC Formal DPV (Datapath Validation). הוובינר יתמקד בשיטות הטובות ביותר כתיבת מודלים בשפת C/C++ לאימות התכנון, ויועבר על-ידי מומחה ה-EDA נילאביה דוטה (Neelabja Dutta), המשמש כמנהל בכיר בקבוצת Applications Engineering של חברת סינופסיס.

ההדרכה תהיה באורך של 60 דקות ותתחיל בשעה 21:00 לפי שעון ישראל. ההשתתפות ללא תשלום.

AI, Graphics, CPU, and many modern designs have arithmetic intensive blocks that are hard to verify with traditional techniques. Synopsys VC Formal DPV (Datapath Validation) has been the industry's golden standard to get closure on datapath verification.

In this Synopsys webinar, we will discuss why you need a specialized formal verification tool to verify datapath. We will also present the do's and don'ts of writing C/C++ models for the arithmetic blocks so that these C/C++ models are ready for formal equivalence checking with their RTL design implementation.

Following these best practices will help you get started with DPV successfully, reduce debug and run time, and maximize your verification efficiency. You will walk away with real knowledge on how best to write your C/C++ models for efficient datapath validation.

למידע נוסף והרשמה:

Writing C/C++ Models for Efficient Datapath Validation Using VC Formal DPV