מהפיכת התכנון האלקטרוני של 2024

מאת: ניל פאשה, מנהל חטיבת Design and Simulation בחברת Keysight Technologies

בשנת 2024 אנחנו צופים את התחזקותה של מהפיכה טכנולוגית ומתודולוגית אשר תייצר דור חדש של תוכנות וכלי תכנון אלקטרוני (EDA) המשמשים בתעשיית האלקטרוניקה. לצד מאמץ גובר להשתמש בטכניקות אוטומציה ואימוץ כלי תוכנה כמו Python API למשל, התעשייה תאמץ גישות של שילוב מערכות, התבססות על טכניקות שהוכחו כטובות ביותר (best-in-class) וכלי פיתוח ובדיקה פתוחים. מצ"ב המגמות המרכזיות שיעצבו את פני תעשיית ה-EDA בשנה הקרובה.

האתגר הקשה של חיזוי ביצועים:

תתגבר מגמת המעבר של התכנון מהמרחב הפיסי אל המרחב הווירטואלי, מכיוון שהתהליך הזה משפר את היכולת להעריך את ביצועי המערכות. בשנים הקרובות נראה מאמץ לקשר בין מערכות התכנון לתהליכי הבדיקות, כדי שניתן יהיה להתמודד עם מערכות מורכבות וקיצור זמני היציאה לשוק.

תקנים חדשים עבור רכיבים מרובי-אריחים:

כיום נוצרים תקנים חדשים עבור הפיתוח של אריחים ייעודיים (chiplets) ופיצול התכנון של SoCs ליחידות קטנות יותר של קניין רוחני. התקנים האלה, דוגמת UCIe, יקלו על השילוב של האריחים במארזים מתקדמים מסוג 2.5D ו-3D. כעת נוצר צורך בתקנים נוספים שיאפשרו לבצע סימולציה מדוייקת של תעבורה מהירה מאוד בין פרוסות הסיליקון הנפרדות שבתוך הרכיב ההטרוגני ומרובה המודולים (3DIC and Heterogeneous Chiplets).

ה-EDA עובר ל-AI:

היישום של טכניקות בינה מלאכותות (AI) ולימוד מכונה (ML) בתוכנות לתכנון אלקטרוני (EDA) נמצא עדיין בשלב הכניסה הראשוני לשוק, כאשר המהנדסים מתחילים להתנסות בפתרונות האלה כדי לפשט בעיות מורכבות. אנחנו צופים שבשנת 2024 יתחילו ארגונים גדולים להשתמש בטכניקות אלה כדי למדל רכיבי סיליקון מדור חדש, הבנויים מחומרים המצויים בקבוצות III ו-V של הטבלה המחזורית, כמו GaN, GaAs, GaAsP וכדומה, וכדי לבצע מידול מערכת של תקנים מפציעים, כמו 6G למשל.

ניהול תהליכים וקניין רוחני (IP):

המעבר לתהליכים דיגיטליים מאלץ את הארגונים לבנות מערכים לניהול סביבת הפיתוח, אשר מטפלים בכל התהליך. החל מכלי הפיתוח, ניהול הנתונים וניהול הקניין הרוחני (IP) המשולב בתכנון. שלושת המרכיבים האלה ימלאו תפקיד קריטי בהצלחת פרוייקט הפיתוח של SoC הכולל הרבה אריחים ומתבצע על-ידי מספר צוותים במקביל. הידוק הקשר בין דרישות תכנון, הגדרות מוצר ועמידה בדרישות ותקנים, ביחד עם מערכות PLM ארגוניות, חיוני לצורך ביצוע הטרנסופרמציה הדיגיטלית של הפיתוח.

השלב הבא – תכנון קוונטי:

המחשוב הקוונטי מתקדם בצעדי ענק ונמצא בתהליך מעבר משלב כלי הפיתוח החינמיים לשלב כלי הפיתוח המסחריים. כדי לשלב את המחשבים הקוונטיים בתהליך התכנון האלקטרוני, יש צורך בפיתוח מתודולוגיות חדשות המאפשרות למהנדסים לבצע סימולציות על-גבי מחשבים קוונטיים וכלים המאפשרים להם לטייב את התכנון על סמך סימולציות אלה.

השפעת הדור הבא של סיליקון פוטוניקס:

הכניסה המואצת של סיליקון פוטוניקס אל מרכזי הנתונים בעקבות עומסי ה-AI/ML הצומחים, דורשת פיתוח רכיבים מהירים מאוד המקושרים אל הסביבה באמצעות מחשבי וממשקי סיליקון פוטוניקס. כדי לעמוד במשימה הזאת, הם יידרשו להשתמש בערכות פיתוח (PDK) מדוייקות מאוד ובמודלי סימולציה מדוייקים מאוד, אשר מסוגלים לתמוך בפיתוח של מוצרים כל-כך תובעניים.

סינופסיס רוכשת את Ansys תמורת 35 מיליארד דולר

חברת סינופסיס (Synopsys) מקליפורניה הכריזה על עסקת המיזוג הגדולה ביותר בתעשיית התוכנות לסימולציה ותכנון אוטומטי של מערכות הנדסיות:  רכישת חברת אנסיס (Ansys) מפנסילווניה תמורת כ-35 מיליארד דולרים במזומן ובמניות. העיסקה צפויה לשנות את המיתוג של סינופסיס מחברת EDA בתחום האלקטרוניקה לחברת תוכנות הנדסיות וסימולציה בכל תחומי ההנדסה, הפיתוח והמדע. אם כיום המתחרה העיקרית שלה היא קיידנס (Cadence), לאחר השלמת העיסקה היא תהיה ככל הנראה המתחרה המרכזית של חברת דאסו סיסטמס (Dassault Systemes) הצרפתית.

חברת סינופסיס היא אחת מספקיות תוכנות התכנון האלקטרוני (EDA – Electronic Design Automation) ומודולי קניין רוחני (IP) לתעשיית שבבים המובילות בעולם. כיום החברה מעסיקה כ-19,000 עובדים בעולם ומכירותיה בשנת הכספים 2023 (שהסתיימה באוקטובר) הסתכמו הסתכמו בכ-5.84 מיליארד דולר. החברה נסחרת בנסד"ק לפי שווי של כ-77.7 מיליארד דולר.

חברת אנסיס מספקת תוכנות הדמייה וסימולציה הנדסית למגוון גדול מאוד של תעשיות: סימולציות פיסיקליות של נוזלים, גזים וטמפרטורות, סימולציות להערכת הביצועים של מערכות אופטיות מורכבות לפני ייצורן, תוכנות לתכנון הנדסי (CAD), תוכנות ייעודיות למטלות מוגדרות בתכנון אלקטרוני ותכנון שבבים, הדמיית נוזלים וגזים לתכנון אווירונאוטי, מערכת לבניית תאומים דיגיטליים של מערכות הנדסיות גדולות ומורכבות ועוד. החברה מעסיקה כ-5,600 עובדים. מכירותיה ב-2023 צפויות להסתכם בכ-2.3 מיליארד דולר. החברה נסחרת בנסד"ק לפי שווי שוק של כ-28.6 מיליארד דולר.

שוק יעד של 28 מיליארד דולר

נשיא ומנכ"ל סינופסיס, סאסין גאזי: "השילוב בין פתרונות ה-EDA של סינופסיס ויכולות האנליזה והסימולציה של אנסיס, יאפשר לנו לספק פתרונות המבוססים על תפישה שלמה מרמת הסיליקון ועד רמת המערכת". להערכת סינופסיס, המיזוג בעקבות המיזוג יצמח שוק היעד הכולל שלה (Total Addressable Market) פי 1.5 להיקף של כ-28 מיליארד דולר בשנה. העיסקה תביא לחיסכון סינרגטי של כ-400 מיליון דולר ולהגדיל את מכירות החברה המשולבת בכמיליארד דולר בשנה (לכ-9-10 מיליארד דולר).

העיסקה תתבצע במזומן ובמניות: סינופסיס תשלם 19 מיליארד דולר במזמן ממקורות עצמיים ומחוב בנקאי בהיקף של כ-16 מיליארד דולר. שאר העיסקה יתבצע במניות לפי שער המעניק לבעלי המניות של אנסיס מחיר פרימיום של 35% מעל מחיר המנייה הממוצע בחודשיים האחרונים. עם סיומה הם יחזיקו בכ-16.5% ממניות החברה הממוזגת. העיסקה צפויה להסתיים במחצית הראשונה של 2025, לאחר אישור אסיפת בעלי המניות של אנסיס וקבלת האישורים הרגולטוריים.

התכנון אלקטרוני עובר לענן; כיצד הדבר מתבצע?

מאת: רן אבינון, מנהל טכני בתחומי וריפיקציה, אמולציה ופרוטוטייפינג, קיידנס

חברות האלקטרוניקה פועלות בשוק תחרותי מאוד. הן נמצאות במירוץ להשקת מוצרי אלקטרוניקה חדשניים ונתונות ללחצים גדלים והולכים. גם מורכבות הפרויקטים נמצאת בעלייה והחברות מעסיקות צוותי מהנדסים הפרושים ברחבי העולם. בעבר הן התמודדו עם האתגר באמצעות בניית חוות שרתים עצומות שנועדו לאפשר שיתוף כלים ונתונים בין צוותי ההנדסה המבוזרים. החוות השרתים האלה הציבו אתגרים קשים בפני צוותי ה-IT שהתקשו לנתב את השימוש במשאבי המידע. המתכננים התחרו על הקיבולת והיכולות של השרתים והתלוננו כאשר נעשה בהם שימוש אחר.

רן אבינון. צילום: ברצי
רן אבינון. צילום: ברצי

בעשור האחרון החלו חברות רבות לאמץ גישה שונה, ולרכוש שירותים מבוססי ענן שהן לא מנהלות בעצמן. בתחום התכנון האלקטרוני (EDA), המעבר לענן היה איטי יותר, בשל החשש מרמת האבטחה של הענן, אך כיום מרבית ספקיות הענן הגדולות מציעות שירותים ברמת אבטחה גבוהה. כתוצאה מכך הגיעה גישת הענן הגיעה גם לעולם כלי התכנון והניתוח.

יתרונות התכנון בענן:

  • כלים מבוססי ענן זמינים וקלים לרכישה ולשימוש לקבועי זמן קצרים ובכך מייתרים את הצורך ברכישת תוכנות יקרות. הפלטפורמה מתאימה לארגונים עם תשתיות ותקציבים מוגבלים, שעדיין זקוקים לפתרונות מתקדמים בתחום התכנון וניתוח המערכות.
  • גמישות בניהול עומסי עבודה – השימוש מבוסס על צורכי התכנון המדויקים ומשך הפרויקט. אם צוות הפיתוח נדרש להגדיל את נפח השימוש – הוא יכול לעשות זאת מיידית. בהתאם, הוא יכול להקטין מיידית את נפח השימוש, ולא לשלם עבור שירות שהן לא משתמשות בו.
  • שימוש בפלטפורמת ענן של אחת השחקניות הגדולות בתחום, כמו AWS, מאפשר לגדול בעשרות אלפי משתמשים ועדיין לעבוד בסביבה מאובטחת.
  • העבודה בענן אטרקטיבית במיוחד עבור חברות קטנות ובינוניות בעלות משאבי IT מוגבלים. יחד עם זאת, גם צוותי הנדסה בעלי צרכים משתנים העובדים בארגונים גדולים, יכולים לעבור את הקיבולת של מחלקת ה-IT הארגונית.

שיפור כושר התחרות בארבע דרכים מרכזיות:

  • חיסכון בזמן – לא צריך להמתין להתקנת תשתית ה-IT. הכלים הנדרשים זמינים מיידית על פי דרישה. הפרויקטים לא מעוכבים בשל המתנה לכלים ומשאבים.
  • אין צורך להחזיק תשתית עבור כלים שכבר לא נמצאים בשימוש. כלים רבים נדרשים ספציפית רק לחלק מסוים בתהליך התכנון.
  • אין צורך לרכוש ולתחזק חוות שרתים. כל השרתים הם בענן ונתמכים על-ידי חברת האירוח וחברת כלי ההנדסה.
  • אין צורך לפתח תשתית גלובלית שתתמוך בכוח עבודה מבוזר.

הלקחים של חברת Astera Labs

בכנס שקיימה לאחרונה TSMC, הציגה חברת Astera Labs התנסות טובה בהאצת זרימות תכנון מוליכים למחצה בענן. לדבריהם, הם השתמשו בענן הרבה יותר ממה שהיו רגילים בעבודה עם מרכזי נתונים מקומיים. הם העריכו את נפח האחסון, אך הוא הוכפל פעמיים. כמובן כאשר סיימו, הם יכלו  פשוט לקטון חזרה. אותו דבר קרה עם היקף המחשוב שהיה פי שלושה ממה שציפו. הם פשוט עשו הרבה יותר סימולציות וכמובן שהעלויות גדלו.

מה עבד להם? הם קיבלו שבב באיכות גבוהה יותר באמצעות וריפיקציה טובה יותר. משלב הרכבת הצוות ועד קבלת שבב עובד, לקח להם פחות משנה. היו להם ממשקי מחשוב עצמאיים לוריפיקציה ולתכנון פיזי, כלומר הם לא השתמשו באותם שרתי מרכז נתונים לשני הצרכים, מה שהיה קשה לביצוע אם היו עובדים on-prem. סביבת ה-AWS הייתה יציבה ובכל הזמן הזה רק מערכת אחת הושבתה באופן בלתי צפוי.

מה לא עבד להם? כאשר יש לך כוח מחשוב בלתי מוגבל, אתה חשוף לצווארי בקבוק חדשים. למשל מתזמן העבודה PBS בו השתמשו, והבינו בדיעבד שמתזמנים פשוט לא מותאמים למחשוב ארעי במיוחד. אנשי Astera Labs הרגישו שיש מקום לשיפור גם בכלי ה-EDA , במידת התאמתם לתשתית הענן, כדי לנצל שכבות אחסון שונות.

באותו כנס, סיפר מארק דאפילד מ-AWS על חוויית אמזון-אנפורנה. כאשר אמזון רכשה את אנפורנה, היה להם מרכז נתונים on-prem והיה ברור שאין הגיון בהרחבה שלו, בהתחשב בכך של-AWS היו כבר בערך זיליון שרתים. אנפורנה (לשעבר) פיתחה במסגרת AWS שלושה שבבים באופן מלא:  Nitro, Graviton ו-Inferentia. בתהליך זה הם גילו מה נדרש כדי לגרום לתכנון מוליכים למחצה לעבוד על AWS, מה שהואיל גם ללקוחות אחרים שהחלו להשתמש בו.

מודלים עסקיים של התכנון האלקטרוני בענן

לסיכום, יש שלוש דרכים שונות להשתמש בענן של אמזון, ובתמחורים שונים מאוד: On-Demand – תשלום לפי זמן שימוש ולפי הצורך הנדרש במדויק. Reserved – מיועדת לעומסי עבודה מתמשכים קריטיים, ובעלות זולה משמעותית. Spot – כמו כל שוק ספוט, המחיר משתנה עם הביקוש אבל הוא תמיד פחות מהאפשרויות האחרות, לפעמים 90% פחות. החיסרון הוא שיש פוטנציאל לאבד מכונה בהתראה של שתי דקות בלבד, אם היא נדרשת למישהו המשלם "לפי דרישה".

מודל עסקי נוסף שהוטמע בשנים האחרונות אצל חברות רבות מאפשר אמולציה של השבב המתוכנן בענן. מודל זה מאפשר לקבוצות פיתוח להשתמש באמולציה ללא רכישת האמולטור או התשתיות הדרושות עבורו (כמו חשמל, חדר שרתים, קירור ומיזוג). מכיוון שהאמולטור הוא מערכת המשלבת חומרה ותוכנה, הוא לא יכול לרוץ על הענן של אחד הספקים הגדולים של מרכזי הנתונים (על מחשבים מבוססי ארכיטקטורה של X86 או ARM).

במקום זאת, אפשר להריץ את המודל במרכז נתונים שיש בו מערכות אמולציה רבות במודל עסקי דומה המאפשר גמישות (בהגדלה והקטנה של גודל התכנון לאורך זמן וכמות הזמן הכולל הנדרש לגישה לאמולטור) ומקל על המעבר בין טכנולוגיות. המשתמש יכול לעבור לדור הבא של האמולטורים מבלי למחזר את הדור הקודם. חברות רבות בישראל ובחו"ל כבר משתמשות במודל זה.

אינטל הקימה קרן השקעות לתמיכה בחטיבת הייצור

בתמונה למעלה: מנכ"ל אינטל, פט גלסינגר. "חטיבת ה-IFS תוביל את מגמת התכנון המודולרי"

חברת אינטל (Intel) חשפה היום (ב') סדרה של מהלכים שנועדו לחזק את חטיבת שירותי הייצור החדשה שלה (Intel Foundry Services), אשר נמצאת בשנה האחרונה בתהליכי בנייה רחבי היקף. התוכניות החדשות כוללות תמיכה בכל ארכיטקטורות המחשוב הקיימות בתעשייה, שיתוף פעולה תעשיתיי באספקת קניין רוחני, תמיכה בקהילת הקוד הפתוח וקרן השקעות בחברות סטאטר-אפ המפתחות טכנולוגיות התואמות למפת הדרכים של החטיבה.

חטיבת שירותי הייצור מקבלת שרירים

בחודש מרץ 2021 הגדיר מנכ"ל אינטל הנכנס, פט גלסינגר, מודל עסקי חדש בשם IDM 2.0, המבוסס על שלושה מרכיבים: ייצור עצמי במפעלי אינטל, ייצור מוצרים של אינטל אצל קבלניות ייצור חיצוניות – ומתן שירותי ייצור ללקוחות צד שלישי. המרכיב השלישי נמצא באחריות חטיבת הפאונדרי (IFS) החדשה. היא נועדה לספק ללקוחות שירותי ייצור שבבים הכוללים מעבדים בארכיטקטורות x86, ARM וארכיטקטורת הקוד הפתוח RISC-V.

גלסינגר הבטיח שלקוחות IFS יקבלו גישה לטכנולוגיות הייצור המתקדמות ביותר של אינטל, בדומה ליחידות הפנימיות של החברה. בנוסף, אינטל החלה אינטל בעבודות הקמת מפעל ייצור חדש בארה"ב בהשקעה של כ-20 מיליארד דולר, אשר מיועד להגדיל את קיבולת הייצור של חטיבת IFS. היום חשפה אינטל מהלכים נוספים שנועדו לחזק את פעילות החטיבה, שהעיקריים שבהן הם הקמת קרן השקעות חדשה בבחברות טכנולוגיות וגוף חדש לשיתוף פעולה תעשייתי בשם IFS Accelerator.

ארכיטקטורת RISC-V היא אחד מיעדי ההשקעה המרכזיים

הקרן החדשה בהיקף של 1 מיליארד דולר הוקמה בשיתוף פעולה עם קרן ההשקעות הוותיקה, אינטל קפיטל (אשר ביצעה הרבה מאוד השקעות בישראל). הקרן תבצע השקעות בחברות אשר מפתחות טכנולוגיות חדשות עבור קהילת הלקוחות של IFS, דוגמת טכנולוגיות המקצרות את זמן היציאה לשוק של לקוחות IFS, חברות המפתחות קניין רוחני רלוונטי ללקוחות IFS, כלי תוכנה, או חברות המפתחות ארכיטקטורות שבבים חדשות ומארזים חדשים, עם דגש על מארזים מרובי שבבים.

אחד מיעדי ההשקעה המרכזיים של הקרן יהיה בחברות המפתחות פתרונות המבוססים על ארכיטקטורת העיבוד הפתוחה RISC-V. במסגרת ההשקעה הן יקבלו תמיכה טכנולוגית מקיפה מ-IFS. הקרן תתמוך בחברות המפתחות מוצרים מבוססי RISC-V אשר ייוצרו ב-IFS, חברות המפתחות קניין רוחני עבור ארכיטקטורת RISC-V ובחברות המפתחות מארזים מתקדמים עבור יישומים מבוססי RISC-V. במקביל,  הקרן תתמוך בפרוייקט קוד פתוח עבור סביבת RISC-V. במסגרת הזאת הצטרפה חטיבת IFS לארגון התעשייתי RISC-V International, המתחזק את הקוד הפתוח של RISC-V.

אקו-סיסטם חדש שיתמוך בלקוחות IFS

לצד הקרן, הקימה אינטל גוף חדש לשיתוף פעולה תעשייתי אשר כולל חברות שיספקו תמיכה בלקוחות IFS. הקואליציה החדשה, IFS Accelerator, פועלת באמצעות שלושה גופים מרכזיים: קבוצת EDA Alliance בהשתתפות קיידנס, סינופסיס, Siemens EDA ו-Ansys; קבוצת IP Alliance בהשתתפות חברות דוגמת ARM, SiFive, סינופסיס, קיידנס, Andes ועוד; וקבוצת Design Services Alliance הכוללת בינתיים את Capgemini, Tech Mahindra ו-Wipro.

תקן פתוח של מארזים מרובי-שבבים

מהלך נוסף שנחשף היום ממוקד בתחום המארזים המתקדמים שבו אינטל מעריכה שיש לה יתרון תחרותי מובהק, ומצוי עדיין רק בשלביו הראשונים: חטיבת הפאונדרי הכריזה על יוזמה לפיתוח תקן תעשייתי פתוח בתחום של מארזים מתקדמים ומרובי שבבים (chiplet platform). מטרת התקן היא להסדיר את ממשקי התקשורת בתוך המארז כדי להבטיח תקשורת חלקה ומהירה בין השבבונים השונים הנמצאים בתוך המארז המתקדם.

אינטל: "הנסיון המוצלח של התעשייה בפיתוח תקנים כמו USB ו-PCI Express מלמד שאפשר להקים סביבה תעשייתית חדשה אשר תאפשר לשלב ביחד שבבונים (chiplets) אשר יוצרו בטכנולוגיות שונות ועל-ידי יצרנים שונים, ולגרום להם לעבוד בצורה חלקה ויעילה. הרעיון זוכה לתמיכה רחבה מאוד של הלקוחות".

לדברי מנכ"ל אינטל, פט גלסינגר, "לקוחות שירותי הייצור מאמצים גישות תכנון מודולריות כדי לבדל את המוצרים שלהם ולהאיץ את זמן הציאה לשוק. חטיבת IFS תוביל את המגמה התעשייתיית הזאת. באמצעות קרן ההשקעות החדשה ויוזמת השבבונים (open chiplet platform), נעודד פיתוח טכנולוגיות חדשות בכל ארכיטקטורות המחשוב הקיימות".

הטכנולוגיות החדשות משנות את מודל הפעילות של יצרניות EDA

בתמונה למעלה: סטיב מקדונלד, סגן נשיא למכירות אירופה בחברת סינופסיס

השינויים העסקיים והטכנולוגיים בתעשיית השבבים משפיעים על האופן שבו יצרניות תוכנות ה-EDA עובדות מול התעשייה. בראיון ל-Techtime אמר סגן נשיא למכירות אירופה בחברת סינופסיס (Synopsys), סטיב מקדונלד, שהגידול במספר הטרנזיסטורים בשבב מייצר מגמות עסקיות חדשות ודורש מיצרניות כלי הפיתוח לספק תמיכה גדולה יותר בלקוחות. "חברות-ענק טכנולוגיות מעוניינות לפתח את השבבים שלהן בכוחות עצמן כדי להשיג שליטה מלאה בקניין הרוחני ובתהליכי הוצאת המוצר לשוק.

"ביצועים גבוהים דורשים התאמה מלאה של החומרה והתוכנה. לכן אנחנו רואים שאחת מהחברות הטכנולוגיות המובילות בשוק משתמשת במערכת הפעלה אחת על-גבי אבזרים שונים, והדבר הזה מתאפשר בזכות השליטה שלה בשבב המעבד. כבר בשנת 2015 שמנו לב שהחברות הגדולות רוצות להשיג שליטה מלאה בכל התהליך, ונערכנו לספק להן תמיכה ישירה. זוהי מגמה חזקה מאוד. אולם היעד המרכזי שלנו לא השתנה: לספק לתעשייה כלי פיתוח יעילים ובטוחים".

כיצד אתם מושפעים מתהליכי הייצור החדשים?

"בפועל, רק חברות מעטות (וגדולות) נכנסות לייצור בתהליכים המתקדמים ביותר. מודל הרישוי והתמיכה בהן הוא שונה משאר התעשייה, מכיוון שהטכנולוגיות החדשות מייצרות מורכבות גדולה מאוד של תכנוני השבבים. הדבר דורש חדשנות גם בתחום כלי הפיתוח, ואנחנו עובדים קרוב מאוד אליהן כדי לוודא שהן יכולות לבצע תיכנונים. למעשה, אנחנו נחשפים אל המידע מראש. לפני שיוצא טרנזיסטור מסוג חדש, החברות מעדכנות אותנו כדי שנתאים את כלי הפיתוח לדרישות הייחודיות של התהליך. שיתוף הפעולה הזה קיים גם עם קבלניות הייצור (foundries). קיימים קשרים הדוקים מאוד בין יצרניות הסיליקון לבין חברות ה-EDA".

מה אתה חושב על RISC-V הפתוחה?

"מדובר בארכיטקטורת פקודות, ולא במוצר ממשי. אנחנו מספקים מעבדים המבוססים על תכנון שלנו (ARC processors). החברות רוצות להוריד עלויות, אבל גם כאשר הן משתמשות בארכיטקטורת RISC-V, הן בסופו של דבר זקוקות לשירותים של מומחי תכנון שבבים, או בקניית תכנון קיים או לרכוש מעבדים שיוצרו על-ידי חברה אחרת. כך שלא ברור מהיכן יגיע החיסכון בעלויות. מבחינת סינופסיס כיצרנית כלי EDA, הכניסה של מעבדי RISC-V לשוק אינה מהותית, מכיוון שהכלים שלנו מתאימים לכל סוגי המעבדים".

מה חדש בתחום המארזים המתקדמים?

"אנחנו רואים צמיחה גדולה מאוד בתחום המארזים מרובי-השבבים. היא מתבטאת בעלייה גדולה במספר הרכיבים הבנויים במתכונת של Chiplet. התכנונים נעשים גדולים מאוד, אבל קשה מאוד לייצר שבבים גדולים, ולכן התעשייה מחפשת דרכים לפצל אותם לפיסות סיליקון קטנות העובדות ביחד במארז מאוחד. יש שוק למארזים מרובי-שבבים מבוססי PCB, בעיקר כאשר הלקוחות מעוניינים להפחית את עלויות ה-BOM (רשימת החומרים).

"בתכנונים צפופים מאוד צריך לפצל את התכנון למספר פיסות סיליקון נפרדות כדי לקבל תפוקה (Yield) טובה יותר. לדעתנו זו הגישה הנכונה יותר וזה הפתרון שבנינו. המגמה הכללית של התעשייה היתה ללכת מהכיוון של מעגלים מודפסים (PCB): למזער אותם ולשלב אותם בתוך מארזי הרכיבים. אנחנו נקטנו בגישה ההפוכה: אנחנו באים מהכיוון של השבב ומחפשים דרכים יעילות לפצל אותו לפיסות סיליקון נפרדות".

אתם מאמינים בבינה מלאכותית?

"בינה מלאכותית יכולה לממש במהירות משימות עיבוד מורכבות מאוד. בתחילת 2020 הכרזנו על פתרון DSO.ai, אשר מבצע אופטימיזציה של התכנון. למשל השגת נקודות אופטימום של הספק מול ביצועים, הספק מול תדר עבודה ועוד. בסוף אוגוסט 2021 סמסונג החליטה להשתמש גם בכלי הזה וגם במערכת PrimeShield הנעזרת בלימוד מכונה, כדי לתכנן את השבבים העתידיים שלה. אחד מהיתרונות היפים של מערכות בינה מלאכותית הוא שאלה מערכות לומדות. אנחנו יכולים להעניק להן את כל הידע שנצבר בחברה בשנים האחרונות ועל-ידי כך לשפר אותן. למעשה, אנחנו רואים שיפור מתמיד בביצועים של DSO.ai ושל PrimeShield".

 

קיידנס רוכשת את NUMECA הבלגית

בתמונה למעלה: הדמייה של NUMECA לזרימת האוויר מסביב לספינה בלב ים

חברת קיידנס (Cadence) חתמה על הסכם לרכישת חברת NUMECA מבריסל, בלגיה, המספקת תוכנת סימולציה של התנהגות מערכות מכניות המבוססות על הפיסיקה של התנהגות נוזלים וגאזים (Computational Fluid Dynamics – CFD). העיסקה נועדה לחזק את אסטרטגיית Intelligent System Design – ISD של קיידנס, המרחיבה את המיקוד המסורתי של קיידנס בתוכנות לתכנון שבבים, אל רמת התכנון המערכתי של מערכות אלקטרוניות שלמות.

חבילת תוכנות הסימולציה של NUMRCA תצורף אל חבילות התכנון הקיימות ששולבו בחבילת ISD, בהן: חבילת Clarity 3D Solver לסימולציה של קרינה אלקטרומגנטית ושל התנהגות אנטנות וחבילת Celsius Thermal Solver המספקת הדמייה של ההתנהגות התרמית של מערכות חשמליות, החל מרמת השבב, רמת המארז המתקדם וכלה ברמת המערכת האלקטרונית המלאה.

"מערכות הדור דורשות פתרונות הנדסיים המשלבים תחומים פיסיקליים רבים", אמר מנהל קבוצת Custom IC & PCB בקיידנס, טום בקלי. "הטכנולוגיה של NUMECA תסייע לתת מענה לצרכים קריטיים של הלקוחות בתחומים כמו זרימה פנימית וחיצונית, אקוסטיקה, העברת חום, אינטראקציה של מבנה ונוזלים ועוד". העיסקה צפויה להיסגר ברבעון הראשון של 2021.

חברת קיידנס מספקת כלים לתכנון אלקטרוני. מכירותיה בשנת 2020 צפויות להסתכם בכ-2.6 מיליארד דולר. החברה נסחרת בנסד"ק לפי שווי שוק של כ-38 מיליארד דולר. קיידנס בישראל היא פועלת בשני אתרי פיתוח ומכירות, בחיפה ובפתח תקווה, המעסיקים ביחד כ-300 אנשי פיתוח. את הפעילות העסקית מוביל אדר סגל ואת פעילות הפיתוח מוביל פרופ' זיאד חנא.

שיתוף פעולה בין סמסונג וסינופסיס בתכנון וייצור מעבדים

חברת סינופסיס (Synopsys) וחטיבת שירותי ייצור השבבים של סמסונג, Samsung Foundry, פיתחו פתרון משותף לתכנון וייצור מעבדים חזקים המיוצרים בטכנולוגיות המתקדמות של של 7 ננומטר ומטה. מנהל קו המוצרים בקבוצת התכנון הדיגיטלי בחברת סינופסיס, ארווינד נריאנאן (בתמונה למעלה), סיפר ל-Techtime ששיתוף הפעולה ממוקד בתכנון וייצור מעבדי CPU, מעבדי GPU, מעבדים ליישומים ניידים ומעבדים הממוקדים בשוקי יעד צומחים כמו תעשיית הרכב, בינה מלאכותית ותשתיות ענן.

נריאנאן: "משמעות שיתוף הפעולה בינינו היא שכל התהליכים המתקדמים שסמסונג מפתחת יהיו מותאמים לסביבת התכנון שלנו (Fusion Design Platform) וכל הכלים שלנו יותאמו לעבודה אופטימלית מול סביבת הפיתוח של סמסונג. אנחנו מבצעים אופטימיזציה של סביבת הייצור של סמסונג ושל סביבת הפיתוח של סינופסיס, כדי שיספקו ביחד פתרון תכנון וייצור מלא".

אלפי יחידות עיבוד בכל שבב

לדבריו, שיתוף הפעולה נולד מתוך הצורך להתמודד עם שבבים מסוג חדש: "הלקוחות דורשים היום מערכות שהן מהירות מאוד, מבצעות חישובים מאוד אינטנסיביים, אבל חסכוניות מאוד בהספק. אנחנו מדברים על אלפי יחידות עיבוד בהספקים מאוד נמוכים אשר יכולות לעבוד בצורה משולבת. הדוגמא המובהקת לכך היא תחום מעבדי הבינה המלאכותית. מדובר בשבבים גדולים מאוד אשר צריכים לעבוד במהירויות שעון גבוהות מאוד ולטפל בכמויות מידע עצומות.

"הם כוללים עשרות אלפי מודולים מקושרים אשר צריכים להיות מתואמים וחסכוניים באנרגיה. בין השאר, בפלטפורמת פיוז'ן שילבנו טכנולוגיות לימוד מכונה שיוכלו להתמודד עם האתגר הזה. הן נועדו להקל על תכנון השבבים באמצעות בניית מודלים המאפשרים להעריך את העומסים על השבבים כשהם ייכנסו למוצרים ויתחילו לעבוד".

סינופסיס ישראל תורמת למד"א ניידת קורונה

חברת סינופסיס מספקת כלי תכנון אלקטרוניים לרכיבים ותוכנות ומודולי IP לשילוב בתכנוני שבבים. בחודש פברואר השנה היא רכשה את חברת Terrain EDA הישראלית (מיקנעם), אשר הוקמה במאי 2016 על-ידי המנכ"ל גלעד טל, הטכנולוג הראשי דרור בריל והיו"ר אלי פרוכטר (לשעבר מייסד חברת EZchip שנמכרה ב-2016 למלאנוקס). החברה פיתחה את תוכנת VerIDE המקצרת את זמני הפיתוח של שבבים באמצעות שפת SystemVerilog, ומוסיפה לתהליך מרכיבים של אוטומציה.

כיום מעסיקה סינופסיס כ-160 עובדים בישראל. עובדי החברה תרמו למד"א כ-215,000 שקל כדי לסייע ברכישת ניידת בדיקות קורונה, שנכנסה השבוע לפעולה. אהוד לוונשטיין, מנכ"ל סינופסיס ישראל מסר: "משבר הקורונה מציב אתגרים חסרי תקדים בפני אזרחי העולם וישראל. קשה למצוא יעד טוב יותר לתרומה של סינופסיס העולמית ועובדיה בישראל מאשר ארגון מגן דוד אדום".

מימין לשמאל: אהוד לוונשטיין מנכ''ל סינופסיס ישראל ואלי בין מנכ''ל מדא. צילום: ליאור קליינברג
מימין לשמאל: אהוד לוונשטיין מנכ"ל סינופסיס ישראל ואלי בין מנכ"ל מדא. צילום: ליאור קליינברג

קיידנס הכריזה על תאימות לתהליכי 5/6/7 ננומטר של TSMC

חברת קיידנס (Cadence) הודיעה על השלמת השינויים בחבילת כלי התכנון שלה למעגלים אנלוגיים ודיגיטליים, שנועדו להתאימם לתהליכי הייצור החדשים של חברת TSMC, בגיאומטריה של 5 ננומטר ושל 6 ננומטר (N6 ו-N5/N5P). השיוניים קיבלו הסמכהוכעת שתי החברות עובדות עם כ-25 לקוחות על תהליך N5/NP5 ועם כ-80 לקוחות על תהליכי N7/N6.

השיפורים בחבילת הכלים העדכנית כוללים תמיכה משופרת לשכבת EUV, בודק אינטגרציית שבבים חדש עבור כללי תכנון תכנית-רצפה ותוספות באמצעות via pillar, autoNDR ותמיכת SHDMIMCAP. בנוסף, בוצעו שיפורים במתודולוגיות התכנון וביכולות של פלטפורמת Virtuoso Advanced-Node, שהותאמה לתהליכים החדשים של TSMC.

ברמת ה-IP, החברה הכריזה על הזמינות של  56G long-reach SerDes IP עבור ייצור בתהליכי N7 ו-N6 של TSMC. מדובר בהרחבת חבילת פתרונות PAM4 SerDes. הערוץ החדש מיועד לשימוש במערכות 5G, מעבדי שרתים, ותכנוני SoC (מערכת על שבב) הכוללים למידת מכונה.

הוא כולל יכולת שחזור נתונים באמצעות ארכיטקטורה מבוססת DSP הניתנת לתכנות, כדי לקבל הספק מיטבי עבור מרחק נתון. להערכת החברה הוא מאפשר ללקוחות להשתמש ב-PCBs זולים יותר ולהשיג גמישות מרובה יותר בתכנון המערכת.

סגנטק במו"מ למכירת Sage תמורת 16 או 28 מיליון דולר

SAGANTEC

חברת סגנטק החיפאית נמצאת במו"מ מתקדם למכירת החברה הבת שלה, Sage Design Automation, תמורת 16 או 28 מיליון דולר. חברת ההשקעות תעוזה דיווחה שהמשא ומתן נמצא בשלב מתקדם ושאם הוא יושלם בהצלחה, חלקה בעיסקה יהיה 9 מיליון דולר. חברת סגנטק מפתחת ומוכרת כלי תוכנה לתכנון מוליכים למחצה באמצעות חברת סייג', שהוקמה בסוף שנת 2012.

כלי התכנון מיועדים ללקוחות המפתחים שבבים אלקטרוניים ומעוניינים להסב את תוכניות הייצור שלהם לצורך התאמה לטכנולוגיית ייצור מתקדמות. בסוף 2012 הקימה סגנטק את סייג' והעבירה לידיה טכנולוגיות שהיא פיתחה. בינואר 2018 הכריזה סגנטק על פלטפורמת iDRM 5.0 החדשה, לפיתוח וניהול של כל כללי התכנון של השבבים (Design Rules).

המערכת מצויידת בממשק גרפי המאפשר בנייה מהירה של כללי ייצור הלוקחים בחשבון את דרישות התהליך (Process) ואת דרישות המעגל המתוכנן, ובובודקים אוטומטית את העמידה בדרישות לפני משלוח לייצור המוני. המוצר מאפשר ליצרני מוליכים למחצה לפתח טכנולוגיות וכללי תיכנון במהירות רבה יותר ובפחות שגיאות.

חוסר הבהירות במחיר העיסקה נובע מהעובדה שהפעילות של סגנטק מתבצעת באמצעות חברת סייג'. אולם לקרן תעוזה יש אחזקות שונות בשתי החברות. עד היום היא השקיעה 5.2 מיליון דולר בחברת סגנטק ומחזיקה ב-56.2% ממניותיה. אולם מהדו"ח השנתי שלה עולה שהיא מחזיקה ב-32% ממניות חברת סייג'.

במידה וההערכה מההכנסה הצפויה מתייחסת רק לחלקה בסייג', מדובר בעיסקה בשווי כולל של כ-28.1 מיליון דולר. אולם אם היא מתייחסת לשווי אחזקותיה בחברת סגנטק, מדובר בעיסקה בשווי של כ-16 מיליון דולר. בתעוזה סרבו למסור הבהרה לאיזה משיעורי האחזקה מתייחסת הערכת ההכנסות מהעיסקה הצפויה.

סינופסיס רכשה את Terrain הישראלית ואת DINI

חברת סינופסיס (Synopsys) השלימה בשבועות האחרונים שתי רכישות בתחום התכנון האלקטרוני (EDA). לפני כשבועיים היא רכשה את חברת Terrain EDA הישראלית (יקנעם), אשר הוקמה במאי 2016 על-ידי המנכ"ל גלעד טל, הטכנולוגי הראשי דרור בריל ועל-ידי היו"ר אלי פרוכטר, לשעבר מייסד חברת EZchip שנמכרה למלאנוקס בפברואר 2016 תמורת כ-811 מיליון דולר.

החברה פיתחה את תוכנת VerIDE המקצרת את זמני הפיתוח של שבבים באמצעות שפת SystemVerilog, ומוסיפה תהליך מרכיבים של אוטומציה. אחד מהמשקיעים המרכזיים בחברה היה אביגדול וילנץ. סכום העיסקה לא נמסר. בשבוע שעבר היא גם רכשה את חברת DINI Group מקליפורניה, אשר מפתחת כרטיסים ופתרונות מבוססי FPGA (בתמונה למעלה) המאפשרים לייצר אבטיפוס של השבב באמצעות FPGA, כדי לבדוק את תיפקוד התכנון וכדי להתחיל בכתיבת תוכנה כבר בשלבי התכנון הראשונים.

החברה הסבירה שהעיסקה נובעת מהצורך הגובר לבצע תיקוף תכנון ותוכנה בשלבים מוקדמים, לאור הגידול המהיר בשימוש ברכיבי SoC ביישומי רכב, בינה מלאכותית, תקשורת הדור החמישי (5G) ומחשוב עתיר ביצועים (HPC), ולאור הגידול בהיקף התוכנה המוטמעת ברכיבים האלה. גם במקרה הזה לא נמסרו תנאי העיסקה, מכיוון שהם נחשבים לא מהותיים למאזן הכספי של סינופסיס.

חברת סינופסיס מספקת כלי תכנון אלקטרוניים לרכיבים ותוכנות. ברבעון הראשון של שנת הכספים 2020 הסתכמו מכירותיה בהיקף של כ-834.4 מיליון דולר, בהשוואה לכ-820.4 מיליון דולר ברבעון המקביל אשתקד. החברה נסחרת בנסד"ק לפי שווי שוק של כ-21.3 מיליארד דולר.