אינטל הכריזה על eASIC מותאם לדור החמישי

חברת אינטל (Intel) הכריזה על גרסה חדשה של טכנולוגיית ייצור השבבים eASIC, אשר הותאמה לייצור מערכות על-גבי שבב (SoC) עבור יישומי הדור החמישי ובינה מלאכותית. טכנולוגיית הייצור החדשה, eASIC N5X, מאפשרת לשלב בין טכנולוגיות ייצור שבבים לבין רכיבי FPGA, ומיועדת להקל על הייצור של רכיבים ייעודיים, אשר במקור תוכננו להיות מיושמים באמצעות רכיבי FPGA. טכנולוגיית eASIC של אינטל היא סוג של טכנולוגיית ייצור מסוג Structured ASIC הנמצאת בתווך בין תכנון ASIC ייעודי לבין שימוש ברכיבים מיתכנתים מסוג FPGA.

שלב ביניים בין ASIC לבין FPGA

תכנון וייצור מעגל ייעודי (ASIC) נחשב יעיל מאוד, אולם יקר לביצוע ודורש תכנון של כל המרכיבים: מרמת השער הלוגי ועד מסיכות הייצור. רכיבים מיתכנתים מסוג FPGA מאפשרים פיתוח וייצור מהירים, אולם עלותם גבוהה וצריכת ההספק שלהם גדולה, מכיוון שהם מבוססים על יחידות לוגיות אחידות שתיפקודן מוגדר באמצעות תוכנה. הדבר דורש תשתית היקפית רבה מסביב לאלמנטים הלוגיים. בגישת גישת Structured ASIC, הלקוח מקבל פרוסת סיליקון הכוללת מרכיבים פנימיים מוכנים, כמו טרנזיסטורים, רגיסטרים וכדומה. התכנון עצמו נעשה ברמת שכבת מוליכי המתכת המגדירה מה יהיו החיבורים החשמליים בין מרכיבי המעגל.

כלומר, הקישוריות בטכנולוגיית Structured ASIC מתבצעת בחומרה ולא בתוכנה כמו ב-FPGA. בהשוואה ל-ASIC סטנדרטי, המפתח כאן עוסק במספר קטן של שכבות הולכה (מסיכות) מתכתיות, ולא צריך לדאוג לייצור כל שכבות הסיליקון שבשבב הסופי. הדבר מאיץ ומוזיל את התכנון בהשוואה ל-ASIC, ומייעל את הביצועים בהשוואה ל-FPGA. הייחוד של משפחת eASIC N5X הוא בכך שהיא תוכננה מבסיסה לספק מסלול הגירה משימוש בטכנולוגיית FPGA אל ייצור של רכיבים ייעודיים.

אפילו המארז תואם ל-FPGA

כדי להשיג את המטרה הזאת, אינטל שילבה במשפחה החדשה מודול חומרה הכולל את המעבד (ARM מרובע ליבות 64 סיביות) המצוי ברכיבי ה-FPGA ממשפחת Agilex. המעבד מפשט מאוד את תהליך העברת התכנון מטכנולוגיה אחת לשנייה ומבטיח תאימות של הרכיבים לדרישות הביצועים והאבטחה של מערכות הדור החמישי. אינטל אפילו מאפשרת לייצר את הרכיב במארז תואם בדיוק לזה של הרכיב המיתכנת שממנו הובא התכנון, כדי לאפשר מעבר לרכיבים חדשים בלא צורך לבצע שינויים בלוח המודפס.

משפחת N5X כוללת מודולים נוספים מוכנים מראש שניתן לשבץ ברכיב, כמו למשל ממשקים למגוון פרוטוקולי תקשורת בקצבי העברת נתונים של 250MHz-32.44Gbps, תמיכה בתקשורת מהירה אל זיכרונות DDR4 במהירויות של עד 3200Mbps וחבילת תוכנה המאפשרת המרה מהירה של קבצים בין הטכנולוגיות. להערכת אינטל, משפחת eASIC N5X מאפשרת להפחית בכ-50% גם את העלות וגם את צריכת ההספק של הרכיבים, בהשוואה לשימוש בטכנולוגיית FPGA.

N5X מעניקה רוח גבית לרכיבים המיתכנתים של אינטל

מאחורי ההכרזה האחרונה מסתתרות מגמות שוק ואסטרטגיה עסקית מעניינים. האחד, הוא הגדלת היקף הפעילות של אינטל בתחום ייצור השבבים של חברות אחרות. בראיון ל-Techtime שהתקיים בדצמבר 2019, סיפר סגן נשיא לאסטרטגיה וחדשנות בקבוצת Programmable Solutions Group של אינטל, וינסנט יו, שאחד מיעדי הקבוצה הוא הוא לחזק את הפעילות של אינטל בתחום מתן שירותי הייצור (Foundry). "אנחנו עובדים קרוב מאוד לקבוצת ה-ASIC של אינטל והטכנולוגיות החדשות יאפשרו להחליף בקלות את ה-FPGA בטכנולוגיית Stuctured ASIC, המקילה על ייצור ASIC, ולהביא עסקאות ייצור לאינטל".

וינסנט יו. השימוש ב-FPGA מאפיין שוק הנמצא בתהליכי שינוי. צילום: Techtime
וינסנט יו. השימוש ב-FPGA מאפיין שוק הנמצא בתהליכי שינוי. צילום: Techtime

הצורך השני קשור להתבססות של מגמות טכנולוגיות חדשות. בשנים האחרונות נכנסו חברות רבות לפיתוח וייצור שבבים ראשוניים עבור מערכות הדור החמישי, מערכות בינה מלאכותית, ופתרונות עיבוד ותקשורת ייעודיים למרכזי נתונים. הדבר בא לידי ביטוי בעלייה בהיקף השימוש ברכיבים מתכנתים. "כאשר שוק נמצא בתהליכי שינוי, מכירות ה-FPGA צומחות", הסביר וינסנט יו. אולם כעת, כשמערכות הדור החמישי נכנסות לפריסה רחבה, כשהבינה המלאכותית הופכת לחלק בלתי נפרד ממוצרי האלקטרוניקה וכשמרכזי הנתונים מצטיידים בכמויות גוברות של מעבדים – יש יתרון לייצור המוני.

המרכיב השלישי באסטרטגיה קשור ככל הנראה לקבוצת הרכיבים המיתכתנים (PSG) של אינטל (לשעבר חברת אלטרה). מסלול הגירה מובנה של רכיבים מיתכנתים אל הייצור ההמוני מגדיל את האטרקטיביות של השימוש ברכיבים המיתכנתים של אינטל עצמה, מכיוון שהוא מעניק לחברות ביטחון שיוכלו לבצע בנוחיות את המעבר מאבות טיפוס או מוצרים יוצאי דופן – אל שווקים גדולים ורגישים למחיר. כלומר, משפחת eASIC N5X נועדה לספק רוח גבית חזקה מאוד למאמצי השיווק של קבוצת ה-PSG באינטל.