אבנט פיתחה מודולי UltraZed ליישומים משובצים

מאת: דניאל רוזווד, UltraZed Project Engineer, בחברת Avnet

הכתבה בחסות Avnet Silica Israel

התעשייה מתמודדת כיום עם הצורך בהגדלת צפיפות העיבוד, כלומר יותר ביצועי מעבד ללא הגדלת השטח ומעטפת ההספק. קיימים מספר פתרונות לבעיה הזאת, אולם לכל אחד מהם יש חסרונות משלו. ניתן לפתח רכיב ASIC ייעודי העומד בדרישות, אולם התהליך יקר מאוד וגוזל הרבה מאוד זמן. ניתן להיעזר במעבד מהיר או במעבדי DSP, אולם בשלב מסויים הם לא יעמדו בדרישות המשתנות לצפיפות העיבוד. למעשה, התעשייה זקוקה לפתרון אשר ניתן להרחיבו בהתאם לצרכים המשתנים של השוק.

פתרון אפשרי אחר לדילמה הוא לנצל את יכולות שינויי החומרה שמספקים רכיבים מיתכנתים (FPGA). כדי להתמודד עם הבעיה הזאת פיתחה חברת אבנט (Avnet) את פלטפורמת UltraZed, שהיא מערכת בכרטיס (System On Module – SOM) אשר בנויה מסביב לרכיבי עיבוד מסתגל ממשפחת Xilinx MPSoC. כרטיס הבסיס, UltraZed-EG מבוסס על Zynq ZU3EG UltraScale, והכרטיס העוצמתי יותר, UltraZed-EV, מבוסס על Zynq ZU7EV UltraScale.

מעגל לוגי מיתכנת מספק ביצועים משופרים בהשוואה לקוד תוכנה

שני הכרטיסים גם כוללים מעבד מרובע ליבות Arm-Cortex A53, מעבד כפול ליבות Arm Cortex R5, ממשקי תקשורת ומאיצי עיבוד דוגמת המאיץ הגרפי Mali GPU. התוצאה: חבילה של משאבי עיבוד המאפשרים לייצר מאיץ ספציפי הדרוש באמצעות עידכוני תוכנה של מרכיב ה-FPGA בכרטיס. כך למשל, כאשר רוצים לבצע הכפלה מהירה של מטריצת מספרים במעבד כללי, ניתן לכתוב קוד C המבצע את החישוב במתכונת של לולאה (Loop) בתוך לולאה. אולם דרך יעילה יותר היא לבצע עיבוד מקבילי של כל המכפלה באמצעות לוגיקה מיתכנתת (Programmable Logic – PL).

כלומר מימוש התהליך באמצעות חומרה המיושמת במרכיב ה-FPGA. בדרך הזאת החישוב מתבצע מיידית ומושלם בהדגמה של אבט בתוך שני מחזורי שעון בלבד – ולא מתבצע בתהליך ארוך הכולל הרבה מאוד מחזורי שעון שבכל אחד מהם נעשה חישוב רק על חלק קטן מהמידע המצוי במטריצה. לגישה הזאת יש יתרונות נוספים, כמו למשל היכולת לחזות במדוייק את זמני העיבוד של כל אחת מהמשימות, שזו יכולת חיונית ליישומי זמן אמת.

עוצמת עיבוד גדולה במערכות משובצות

מעבדים כלליים (General Purpose Processors), יכולים לעשות הרבה מאוד דברים, אולם הם לא מצטיינים באף תחום. מעבדי אותות (DSP) טובים בביצוע פעולות מתימטיות, אולם לעתים רבות נזקקים למאיצים ייעודיים והם לא גמישים כמו פתרונות PL, שניתן להתאימם לשינויים עתידיים בצורכי העיבוד (למשל AI/ML). כאן נכנסת לתמונה משפחת Xilinx MPSoC: היא כוללים תמהיל של מעבדי יישומים, מעבדי זמן אמת, מעגלים מיתכנתים ומאיצי חומרה מגוונים, אשר מספקים ביחד משאב עיבוד רב עוצמה.

מודולי UltraZed מבוססים על רכיבי Xilinx MPSoC. בהדגמה של אבנט ביצע מודול UltraZed-EG פעולות עיבוד תמונה המיושמות בכלי-רכב: הוא זיהה את הרכב, אחר כך זיהה מתוך אותה תמונה את לוחית הרישוי שלו, ואז חילץ את המידע המופיע על לוחית הרישוי – בזמן אמת. באמצעות המודול UltraZed-EV הוכפל כושר העיבוד והמודול ביצע שתי פעולות עיבוד כאלה במקביל. אבנט ביצעה כמה תכנונים שבהם המודול עיבד ארבעה זרמי וידאו 1080P בן-זמנית. ראוי לזכור שהמודול הוא מוכוון מולטימדיה, ורכיב ה-ZU7EV העומד בבסיסו כולל גם Video Codec Unit. כלומר, מדובר במערכת משובצת אשר מסוגלת לבצע פעולת 4KUHD Encode/Decode מלאה על-גבי וידאו.

למידע נוסף אודות המודולים: Designed by Avnet SOMs

לפרטים נוספים:

איתמר קהלני, מנהל קו מוצרי Xilinx בחברת אבנט סיליקה, 054-5206287, [email protected]

 

זיילינקס הכריזה על רכיבי בינה מלאכותית באבזרי קצה

חברת זיילינקס (Xilinx) הכריזה על משפחת רכיבי Versal AI Edge, שהיא  התוספת האחרונה למשפחת Versal ACAP, השייכים לקטגוריה שזיילינקס מכנה בשם מיחשוב מסתגל (Adaptive SoC). הרכיבים החדשים מיועדים להתמודד עם הצורך ליישם פונקציות מורכבות של בינה מלאכותית ולימוד מכונה באבזרי הקצה ובלא תלות במשאבי הענן. מנהל קו מוצרי Versal AI Edge בחברת זיילינקס, ריהאן טאהיר, אמר שהשוק חווה צמיחה גדולה מאוד בדרישה לאבזרי הקצה אשר יכולים לקבל החלטות באופן עצמאי. "עיבוד באבזרי הקצה נותן מענה לחולשות של הענן, ובמיוחד לבעיית זמני ההשהייה הארוכים (Latency)".

הרכיבים מיוצרים בטכנולוגיה של 7 ננומטר ומיועדים בעיקר לשוקי הרכב, מכשור רפואי, רובוטיקה, מצלמות מעקב, רחפנים וכדומה. הם ייצאו לשוק בשנה הבא עם הסמכת תעשיית הרכב, ISO26262, הסמכת IEC 61508 תעשייתית והסמכת DO-254/178 תעופתית. להערכת זיילינקס הביצועים של הרכיב טובים פי ארבעה בהשוואה לביצועי ה-GPU של חברת אנבידיה (Jetson Xavier). הדבר מושג באמצעות שימוש במערך של 16-32 מנועי בינה מלאכותית שלכל אחד מהם מוצמד זיכרון RAM מקומי בנפח של 32MB המאפשר שמירת מידע בזיכרון שלא צורך בהעברות אל ה-DDR או בקריאתו מהם.

רכיב Versal AI Edge בתצורת ניהול כלי-טיס בלתי מאויישים
רכיב Versal AI Edge בתצורת ניהול כלי-טיס בלתי מאויישים

טאהיר אמר שהרכיב מאפשר לממש פונקציות של נהיגה אוטונומית במחצית משטח הסיליקון הדרוש כיום. בין השאר הוא מאפשר לבצע שינויים מהירים בחומרה (מספר מילי-שניות), כולל שינויים במתכונת של עדכון מרחוק (OTA), באמצעות מודול ה-FPGA שאחראי על יישום פונקציות Domain Specific Architecture, המאפשרות להתאים את הפלטפורמה ליישומים ספציפיים. חברת זיילינקס הכריזה על זמינות מלאה של התיעוד. היא תספק כלי פיתוח ראשונים במחצית השנייה של 2021. הייצור של דוגמאות סיליקון צפוי להתחיל במחצית הראשונה של 2022, כאשר ערכות הפיתוח וההערכה המלאות ייצאו לשוק במחצית השנייה של 2022.

פשרות הכרחיות בפיתוח מערכות שידור וידאו חי

מאת: רוב גרין, מנהל בכיר תחום Pro AV, Broadcast ו-Consumer בחברת Xilinx

הכתבה בחסות Avnet Silica Israel

השימוש בווידאו זורם (Streaming Video) נמצא בעלייה מתמדת, המתבטאת גם בצמיחת שוק שידורי וידאו חיים באינטרנט הנדחף על-ידי ענקיות כמו יוטיוב ופייסבוק. במקביל צמחה קטגוריית שירותי eSports המייצרת סוג חדש של מקורות שידור וידאו, בהם אלפי קבצים מוזרמים של משחקי מחשב. כל אלה זקוקים לקידוד, פיענוח, המרה בין פורמטים שונים, בין מהירויות העברת מידע מגוונות וברזולוציות שונות. הדבר מצריך לבצע המרות רבות המשמשות כגשר בין האותות הנכנסים ובין האותות היוצאים.

מגמות השוק מכתיבות את הדרישות

במקביל, מתגבר המעבר לרזולוציית וידאו גבוהה מסוג 4K. מסכי 4K כבר מצויים בבתים רבים ומציבים אתגרים מיוחדים בפני שידורים חיים (live IP streaming) עקב מגבלת הזמינות של רוחב פס. אומנם תקן 1080p צפוי להיות פורמט הסטרימינג הנפוץ ביותר, אולם המשקל הגובר של מצלמות וסמארטפונים בעלי יכולות 4K, מחייב לקלוט אותות 4K זורמים ולעבד אותם מחדש כדי לשדר את הסרטון הזה משודר בפורמט שונה, מצומק יותר. ראוי לזכור שהמרת קובץ 4K HEVC לפורמטים השונים דורשת עוצמת עיבוד גדולה פי חמישה בהשוואה להמרת אותות בתקן H.264 (המוכר גם בכינוי MPEG-4 AVC).

ולבסוף, נמשך המאמץ להקטין את זמני ההשהייה הכוללים (end-to-end latency) של שידורים חיים. במקרים רבים ההשהייה מגיעה למשך של דקה שלמה, והדבר דורש להתקין כלים לקיצור זמני ההשהייה בכל אחד ממרכיבי הרשת. ספקי שירותים רבים דורשים תמיכה בריבוי זרמי וידאו ובריבוי טכנולוגיות קידוד. תקן H.264 נפוץ מאוד לצורכי דחיסת אותות, כאשר הדור הבא שלו, H.265, כבר מתחיל להיכנס אל השוק.

כיום המערכות נדרשות להציג יכולת לקודד אותות באחד או בשני התקנים האלה ביחד, ולבצע קידוד סימולטני של האות ברזולוציות ובקצבי העברה שונים. במונחי ביצועים, מדובר במדידת פרמטר בשם SWAP, קיצור של "גודל, משקל וצריכת הספק". שימוש בליבות קידוד ייעודיות ומוקשחות מספק הפחתה דרמטית של מדד ה-SWAP. בנוסף יש צורך בפתרון חכם אשר יודע להסתגל אל הרשת ומאפשר לבצע גם תכנות מחדש לפי דרישה.

הפתרון הטוב ביותר הוא פשרה מוצלחת

כלומר אנחנו מחפשים את הגמישות הנחוצה לתמיכה בהזרמת קבצי וידאו רבים, בפורמטים ובתנאי רשת שונים – ולצרכים שונים. ניקח לדוגמה מקור וידאו המבוסס על מצלמה המתעדת אירוע ספורט בזמן אמת. מהירות העברת המידע במקרה הזה עשויה להיות מוגבלת מאוד, ולכן אפשר להתפשר על איכות התמונה כדי לעמוד בתנאי רוחב הפס הזמין. גם במהלך ועידת וידאו דו-כיוונית, שבה לזמני ההשהייה יש חשיבות קריטית, מבצעים דחיסה חזקה יותר של אותות הווידאו כדי לעמוד בתנאי רוחב הפס הזמין.

התוצאה היא שאין מקודד יחיד המתאים לכל מקרי השימוש (use cases), ואנחנו זקוקים למקודד כל-כך גמיש שהוא יהיה מסוגל לספק מענה למירב הדרישות האפשריות. אומנם ניתן לרכוש פתרונות מוכנים מהמדף במתכונת של רכיבי ASIC או ASSP, אולם הם לא יספקו מענה לדרישות המדוייקות ויהיו להם חסרונות נוספים.

תוכלו למשל לקבל איכות וידאו מעולה, אולם ההשהייה תהיה גדולה מדי. לחלופין, ההשהייה יכולה להיות מדוייקת ואיכות הווידאו מעולה – אבל צריכת ההספק של אבזר הקצה תהיה גבוהה מדי. אנחנו זקוקים לגישה גמישה אשר תאפשר לכוונן את הטיפול בקבצים בהתאם לדרישות היישום ותוך ביצוע של הפשרה הטובה ביותר בין הדרישות המתנגשות.

הצגת Zynq UltraScale+ MPSoC

המעבדים הרב-שימושיים (MPSoC) ממשפחת Zynq UltraScale+ של חברת Xilinx מבוססים על מעבד זמן אמת, פלטפורמה לוגית מיתכננת (FPGA), מעגלים היקפיים וממשקי תקשורת מהירים ומופיעים במספר גרסאות הכוללות מעבד יישומים כפול, מעבד יישומים מרובע ליבות ומעבדי GPU. גרסת EV של המשפחה כוללת מעבד A53 מרובע ליבות של ARM, ומקודד וידאו מוקשח (VCU) המותקן ביחידת הלוגיקה המיתכנתת על-מנת לספק את הגמישות התפקודית הנחוצה.

 

המקודד תומך במספר פרופילי שימוש ומפעיל סדרה של כלי קידוד (כולל כלים המיועדים ל-H.265). הוא תומך בקידוד של עד 32 זרמי וידאו ובפיענוח של עד 32 זרמי וידאו – בו-זמנית. הרכיבים תומכים בתרחישי הזרמת וידאו במהירות קבועה ובמהירות משתנה, וכן בתרחישים רבים נוספים. רכיבי EV מתאימים ליישום UHD 4K ומיועדים לשימוש במערכות מולטימדיה, מערכות ADAS בכלי-רכב, מערכות מעקב ואבטחה וביישומי ראייה משובצים.

יישום וידאו אופייני

המעבד מרובע הליבות (APU) הוא לב הפתרון ומארח את מערך התוכנה (run-time software stack) הכולל את מערכת ההפעלה לינוקס, מערך הניהול, תוכנות המדיה, עיבוד קול ותוכנות נוספות של היישום הספציפי. ממשקים משובצים כמו USB 3.0 ו-SD זמינים לצורך איחסון. הווידאו עובר דרך מודול הלוגיקה המיתכנתת, המארחת את ממשקי ה-I/O של הווידאו, עיבוד וקידוד הווידאו וממשקי איתרנט במידה ויש בהם צורך. השילוב של לוגיקה מיתכנתת ביחד עם מנועי קידוד קשיחים מאפשר למצוא בזמן אמת את הפשרה האופטימלית בין צריכת ההספק, וזמני ההשהייה והתגובה.

מקודדי הווידאו הקשיחים (VCU) מאפשרים ליישם את פרוטוקולי H.264/H.265 בלא לגזול משאבים ממשאבי הלוגיקה המיתכנתת. חברת Xilinx מעריכה שהרכיב מספק את מקודד H.264/H.265 המקצועי המהיר בתעשייה, עם זמני השהייה של 30 מילי-שניות מקצה לקצה. ולמרות שרכיבי FPGA נחשבים כצרכני הספק כבדים, הרכיב החדש מבצע תהליך 4K60 מלא בהספק של כ-8.5W בלבד. הדבר חשוב ביותר עבור יישומים מופעלי סוללה, הפחתת עלויות והתמודדות עם הצורך לפזר חום.

דיאגרמת המלבנים של Zynq UltraScale+ MPSoC בגרסת EV
דיאגרמת המלבנים של Zynq UltraScale+ MPSoC בגרסת EV

זמינות כלי הפיתוח

כדי לפשט את הטיפול במערכת כל-כך מורכבת, מספקת Xilinx כלי פיתוח הניתנים להגדרה ברמת הפשטה גבוהה המרוחקת מהחומרה עצמה. תכנוני הייחוס משתמשים במערכת Petalinux אשר רצה על מעבדי ה-ARM, ולכן השליטה במקודדים ובשאר הפרמטרים ניתנת לביצוע באמצעות פקודות GStreame, הנכתבות בשורת הפקודות או באמצעות ממשק ההתחברות (API) אל היישום הספציפי. בדרך הזאת אפשר לשלוט בכל הפרמטרים, החל מבחירה בסוגי הקבצים (video formats) וכלה בקצב השידור של כל מקודד או במאפייני איכות הווידאו.

לסיכום, וידאו זורם הוא כיום פופולרי יותר מאי פעם, אולם דורש להתגבר על אתגרים טכניים ולבצע פשרות מורכבות בהתאם לכל יישום. מעבד Zynq UltraScale+ MPSoC של חברת Xilinx, הוא אבזר הקידוד האולטימטיבי לווידאו זורם (streaming codec device) ומספק גמישות גדולה מאוד הן ברמת החומרה והן ברמת התוכנה. למידע נוסף, אנא הקליקו על הקישורים למטה.

לפרטים נוספים:

איתמר קהלני, מנהל קו מוצרי Xilinx בחברת אבנט סיליקה, 054-5206287, [email protected]

מקורות מידע:

VCU Reference Designs

On the Xilinx wiki there are many comprehensive reference designs for the VCU that can be used for evaluation and a starting point for development

https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/18841711/Zynq+UltraScale+MPSoC+VCU+TRD

On Demand Training

Available in the Xilinx customer training portal, learn how to build and run complex multimedia applications targeting the Zynq UltraScale+ MPSoC EV device with the help of the GStreamer framework. There is 16 hours of content and 4 labs to experiment with on the ZCU106 multimedia platform

https://xilinxprod-catalog.netexam.com/Certification/45741/developing-multimedia-solutions-with-the-video-codec-unit-using-the-gstreamer-framework

 

PG252 – H.264/H.265 Video Codec Unit LogiCORE IP Product Guide

A comprehensive documentation of all the VCU features and capabilities, with information on designing with core and application software development

https://www.xilinx.com/support/documentation/ip_documentation/vcu/v1_2/pg252-vcu.pdf

קמיליון ו-Xilinx מפתחות מעבד-עזר מבוסס FPGA לאבטחת שרתים

חברת קמיליון (Kameleon Security) מקיסריה תפתח ביחד עם חברת זיילינקס (Xilinx) מעבד-עזר מסוג חדש אשר יסיר מה-CPU חלק ניכר ממשימות האבטחה המוטלות על השרת במרכזי נתונים, ויגן עליו בשלב האיתחול הנחשב לשלב רגיש וקריטי ביותר, מכיוון שבמהלכו המחשב כבר עובד אולם מערכת ההפעלה עדיין לא נכנסת לתפקוד מלא. החברות מתכננות להטמיע את הטכנולוגיה בתוך מעבדי ה-FPGA של זיילינקס הבנויים בארכיטקטורת MPSoC, ולהוציא אותו לשוק לקראת סוף 2021.

שבב האבטחה מקדים את מערכת ההפעלה

קמיליון הוקמה בשנת 2019 על-ידי המנכ"ל חורחה מיז'נה וקבוצה של יוצאי מיקרוסופט, קואלקום ו-8200. היא וקיבלה השקעות מקרן הסיד State Of Mind Ventures היושבת בהרצליה ומפנחס בוכריס. הפתרון המשותף עם זיילינקס ייצא לשוק תחת השם ProSPU, שהוא קיצור של הביטוי Proactive Security Processing Unit. "הגישה הייחודית של קמיליון מאפשרת להגן על המחשבים באמצעות רכיבי ה-FPGA שלנו גם בשלבי האיתחול וגם לאורך הפעילות השוטפת שלהם", אמר סגI נשיא למכירות בזיילינקס העולמית, סינה סולטאני. "הפתרון הזה מעניק הזדמנויות רבות מאוד בשוק. אנחנו מצפים בקוצר רוח להשקתו".

המנכ"ל חורחה מיז'נה, סיפר ל-Techtime שהטכנולוגיה של החברה תואמת למיפרט Root of Trust העדכני ביותר של קבוצת שיתוף הפעולה התעשייתית, Open Compute Project. מיז'נה: "בכל מעבד קיימת תוכנה אשר שמורה בדרך-כלל בזיכרון פלאש הנמצא על לוח האם. כאשר מפעילים את המחשב מועברת התוכנה הזאת אל המעבד ולאחר מכן הוא מבצע את תהליך האיתחול ומעלה את מערכת ההפעלה. הבעיה היא שצריך לוודא שהקוד המגיע מהפלאש אל המעבד הוא קוד תקין שלא עבר שינוי.

מעבדי אבטחה ייעודיים

"אם יש באג בזכרון הפלאש, המערכת כולה תעלה עם הבאג הזה. ומכיוון שהבאג נכנס למעבד לפני שמערכת ההפעלה עלתה – אין אף מערכת תוכנה שיכולה לאתר אותו". הפתרון של החברה מבוסס על הרעיון של Root of Trust, שאומץ על-ידי OCP. מדובר במעגל חומרה אשר מוודא את תקינות הקוד הראשוני בזכרון הפלאש, פני העברתו למעבד. הוא מוודא שהעלייה תתבצע באמצעות הקוד התקין וכאשר הוא מגלה קוד שהושחת, הוא יודע לשחזר את קד המקור לפני שהמעבד נטען בקוד שגוי.

"ברגע שאנחנו רצים במעבד נפרד והחיבור למערכת ההפעלה נעשה באמצעות חומרה, התוקף לא יכול לקבל מידע על המערכת. מצבו דומה לשודד בנק שלא אסף מודיעין לפני ביצוע השוד". להערכת מיז'נה, מדובר ברעיון החורג הרבה מעבר לעוד מעגל הגנה מקומי: "העולם שבו כל המערכות נוהלו באמצעות מעבדי CPU אחידים הולך ונעלם. התעשייה מאצמצת שימוש במעבדים ייעודיים למטלות שונות, כמו למשל מעבדים ייעודיים למשימות בינה מלאכותית. לדעתנו השלב הבא יהיה מעבדים ייעודיים לאבטחת המחשבים והשרתים".

מהי רמת שיתוף הפעולה עם זיילינקס?

מיז'נה: "אנחנו הולכים להביא ביחד לשוק פתרון עבור ספקיות שירותי ענן ומרכזי נתונים. זהו פתרון המתאים לכל סוגי התשתיות: מרכזי מחשוב, תעשיית הרכב ועוד. כל מערך המכירות של זיילינקס עומד מאחורי המוצר החדש. אנחנו פונים ביחד אל שוק המבין את הצורך בפתרון הזה ומוכן לשלם עבורו".

סופית: AMD רוכשת את Xilinx תמורת 35 מיליארד דולר במניות

בתמונה למעלה: ד"ר ליזה סו, מנכ"לית AMD. העיסקה היא מהלך בתוכנית אסטרטגית רחבת היקף

חברת AMD חתמה על ההסכם לרכישת חברת Xilinx תמורת 35 מיליארד דולר במניות – בחמישה מיליארד דולר יותר מאשר בהערכות המוקדמות שפורסמו בתחילת החודש. העיסקה תייצר ענקית שבבים חדשה המעסיקה 13,000 מהנדסים ובעלת תקציב מחקר ופיתוח שנתי בהיקף של כ-2.7 מיליארד דולר. החברה המאוחדת תחזיק גם בטכנולוגיית CPU, גם בטכנולוגיית GPU, וכעת גם בטכנולוגיות FPGA וקישוריות מהירה בין המעבדים (SmartNIC).

ארבעת הטכנולוגיות האלה מהוות את אבני הבניין המרכזיות של עולם תשתיות העיבוד המאסיבי. הן מקבילות רק ליכולות של אינטל ושל אנבידיה (שחתמה על הסכם לרכישת חברת ARM תמורת 40 מיליארד דולר). "רכישת זיילינקס היא מהלך נוסף באסטרטגיה שנועדה להביא את AMD למעמד של החברה המובילה בעולם בתחום המחשוב עתיר הביצועים", אמרה נשיאת ומנכ"לית AMD, ד"ר ליזה סו. "הצוות של זיילינקס הוא אחד מהטובים בתעשייה ואנחנו נרגשים לצרף אותו אלינו".

ד"ר ליזה סו תוביל את החברה הממוזגת, כאשר נשיא ומנכ"ל זיילינקס, ויקטור פנג, יהיה אחראי על עסקי קבוצת זיילינקס ועל יוזמות צמיחה ארגוניות. בנוסף, שני דירקטורים של זיילינקס יצטרפו אל דירקטוריון החברה הממוזגת.  בסיום העיסקה, יחזיקו בעלי המניות של Xilinx ב-26% ממניות החברה המאוחדת, והשאר יהיו בידי בעלי המניות של AMD. זיילינקס צפויה לחסוך 300 מיליון דולר בהוצאות ב-18 החודשים הראשונים, בעקבות סינרגיה עם חלק ממחלקות הארגון של AMD.

שוק יעד של 110 מיליארד דולר

העיסקה אושרה על-ידי הדירקטוריונים של שתי החברות, אולם זקוקה לאישור אסיפת בעלי המניות של שתי החברות ולקבלת אישורים רגולטוריים. שתי החברות העריכו שהיא צפויה להסתיים בסוף 2021. עד אז ימשיכו שתי החברות לעבוד בנפרד. בעקבות ההודעה ירדה מניית AMD בנסד"ק בכ-4.4% והיא נסחרת לפי שווי שוק של כ-92.5 מיליארד דולר. מניית זיילינקס עלתה בכ-10% והיא נסחרת לפי שווי של כ-30.5 מיליארד דולר.

חברת זיילינקס נחשבת לחברה הגדולה ביותר בשוק הרכיבים המיתכנתים ולהערכת MAD  מחזיקה בכ-54% מהשוק העולמי. ב-12 החודשים האחרונים הסתכמו מכירותיה בכ-3 מיליארד דולר. מכירות AMD בתקופה הזאת הסתכמו בכ-8.6 מיליארד דולר. היקף המכירות המשותף שלהן הוא 11.6 מיליארד דולר. החברה הממוזגת תפעל בשווקים רבים: מחשבים אישיים, גיימינג, מרכזי נתונים וציוד תעשייתי, תעופתי ובטחוני. היקפם המשותף נאמד בכ-110 מיליארד דולר.

חברת AMD במו"מ לרכישת Xilinx ב-30 מיליארד דולר

חברת AMD נמצאת במשא ומתן מתקדם לרכישת חברת זיילינקס (Xilinx), ככל הנראה תמורת כ-30 מיליארד דולר. כך דיווחה סוכנות בלומברג אשר ייחסה את הידיעה למקורות המקורבים לעיסקה שביקשו להישאר בעילום שם עד לסיומה. הם מסרו שהעיסקה צפויה להיסגר ככל הנראה במהלך השבוע הקרוב, אולם עדיין לא ברורים התנאים הנוספים של העיסקה.

חברת זיילינקס היא החברה הגדולה בעולם בתחום הרכיבים המיתכנתים (FPGA). בשנה האחרונה הסתכמו מכירותיה בכ-3.16 מיליארד דולר. בעקבות הידיעה עלתה מנייתה בנסד"ק בכ-17% והיא נסחרת לפי שווי שוק של כ-26 מיליארד דולר. חברת AMD היא המתחרה היחידה של חברת אינטל בתחום ייצור מעבדי CPU המבוססים על ארכיטקטורת x86. היא גם מייצרת גם מעבדים וכרטיסים גרפיים. בשנת 2019 הסתכמו מכירותיה בכ-6.73 מיליארד דולר. היא נסחרת בנסד"ק לפי שווי של 101.6 מיליארד דולר.

חברת זיילינקס היא הממציאה של טכנולוגיית הרכיבים המיתכנתים (FPGA). הם פותחו כדי לספק למהנדסים יכולת לבדוק אבות טיפוס של שבבים לפני העברתם לייצור המוני, אולם בשנים האחרונות הם נכנסים לשווקים חדשים: המבנה המקבילי שלהם מאפשר להשתמש בהם כמעבדי-עזר חזקים מאוד המייעלים את פעילות מרכזי הנתונים והשרתים, ביצוע פעולות חישוביות חזקות והפעלת יישומי בינה מלאכותית. אפילו עיבוד מידע מהחיישנים ברכב אוטונומי. המגמה הזו עמדה מאחורי העיסקה הגדולה ביותר בתולדותיה של אינטל: בשנת 2015 היא רכשה את חברת אלטרה, המתחרה המרכזית של זיילינקס, תמורת 16.7 מיליארד דולר. אלטרה מהווה כיום את ליבת קבוצת המערכות המיתכנתות (Programmable Solutions Group) של חברת אינטל.

ברקע מהדהדת עסקת ה-40 מיליארד דולר של אנבידיה-ARM

בשנים האחרונות הצליחה AMD לחזק את מעמדה בשוקי המחשבים האישיים והשרתים, והרחיבה את נתח השוק שלה על חשבון נתח השוק של אינטל. בתגובה, אינטל חיזקה את מעמדה בתחום מרכזי הנתונים, תשתיות הענן החזקות ותשתיות המיחשוב עתיר הביצועים. המהלך של AMD, במידה וייצא אל הפועל, יחזק אותה גם בתחומים האלה.

ברקע לתחרות בין השתיים עומדת העלייה במעמדה של חברת אנבידיה, המשתמשת בטכנולוגיות בינה מלאכותית (AI) כמנוף לבניית מעמדה בשוק מרכזי הנתונים ותשתיות העיבוד החזקות. אנבידיה מבססת את האסטרטגיה שלה על מעבדים גרפיים (GPU) המבצעים את עיקר מטלות ה-AI במרכזי הנתונים והענן, ועל הקישוריות המהירה של מלאנוקס המבטיחה לה יכולת בניית מרכזי נתונים, מחשבים גדולים וגם תשתיות בקצה הרשת הזקוקות לבינה מלאכותית ולתקשורת.

כעת היא מעוניינת לצרף גם את טכנולוגיית ה-CPU של חברת ARM, שאותה היא מתכננת לרכוש תמורת כ-40 מיליארד דולר (במידה ויתקבלו האישורים הרגולטוריים). משובר בשני מהלכים גדולים מאוד: אם תושלם בהצלחה עיסקת אנבידיה-ARM, ואם תתבצע גם עסקת AMD-זיליינקס – שוק תשתיות המיחשוב צפוי להתארגן מסביב לשלושת מוקדי הכוח הגדולים האלה.

סובארו הטמיעה FPGA של זיילינקס במערכות ADAS החדשות

חברת סובארו התקינה את השבב המיתכנת UltraScale+ MPSoC של חברת זיילינקס (Xilinx) בדור החדש של מערכות עזר בטיחותיות לנהג (ADAS) ממשפחת EyeSight. המערכת החדשה תותקן בשלב הראשון בדגמי ה-SUV של סובארו ממשפחת Levorg. המערכת מספקת יכולות בקרת שיוט, שמירה על נסיעה בנתיב, ובלימת חירום להימנעות מפני תאונות. המערכת של סובארו מבוססת על צילום סטריאופוני המיוצר על-ידי שתי מצלמות בו-זמנית.

מחשב המערכת מנתח את שתי התמונות ומייצר "ענן" תלת-מימדי של נקודות המייצגות את סביבת הרכב. לדברי הטכנולוג הראשי של חברת סובארו, טטסו פוג'ינוקי, אחד מהשיקולים בבחירת ה-MPSoC של זיילינקס היה בעובדה שמדובר ברכיב העומד בכל תקני תעשיית הרכב, "ולכן הוא מקצר עבורנו את מסלול קבלת הסמכת ASIL". המערכות החדשות זמינות ביפן כבר מהחודש הזה (אוגוסט 2020). הרכיב המיתכנת של זיילינקס בנוי בתהליך של 16 ננומטר.

למעשה, סובארו מחליפה רכיב ASIC ייעודי במעבד מיתכנת מהקטגוריה הייחודית של רכיבים מיתכנתים מרובי-מעבדים (MPSoC) של זיילנקס (דיאגרמת המלבנים למטה), המיוצרים בחברת TSMC מטרנזיסטורי FinFET. הם כוללים מעבד 64 סיביות מרובע ליבות מסוג ARM Cortex-A53, מעבד בעל שתי ליבות מסוג ARM Cortex-R5, מודול גרפי מסוג ARM Mali, מודול אבטחה להגנה על הרכב, זיכרונות פנימיים ממשקי, מעבד וידאו תקשורת ומודול מיתכנת (FPGA) גדול מאוד ממשפחת UltraScale.

הרכיבים קיבלו את התיוג XA לאחר שעברו את הסמכת תעשיית הרכב לתקני AEC-Q100 ולשימוש ברכב אוטונומי ברמת ISO26262 ASIL-C. זיילינקס מסרה שהיא עובדת כיום עם כ-200 חברות מתחום תעשיית הרכב (יצרניות וספקיות פתרונות), וסיפקה להן כ-190 מיליון רכיבים מיתכנתים בטכנולוגיות 28/16 ננומטר, בהם כ-75 מיליון רכיבי שהוטמעו במערכות ADAS. בין הלקוחות שלה בתחום: דיימלר, סובארו, היטאצ'י, קונטיננטל, מאגנה ועוד. בשנת 2020 היא תספק להערכתה כ-19.3 מיליון רכיבים מיתכנתים לתעשיית הרכב העולמית.

Xilinx הכריזה על שרתי וידאו מבוססי FPGA

חברת Xilinx מרחיבה את פעילותה בתחום המוצרים המוגמרים, ובנוסף לכרטיסי ההאצה שהיא מוכרת, נכנס לתחום חדש עם הכרזתו של שרת קבצי וידאו מסוג חדש, המבוסס על תכנון הייחוס  Xilinx Real-Time (RT) Server. משפחת השרתים החדשה מתוכננת להתמודד עם האתגר המיוחד של ריבוי צרכנים הדורשים קבצי וידאו מרובי-קידוד (video transcoding) בזמן אמת.

הסוג הזה של התמודדות אינו חדש, אולם הופך לאחרונה קריטי לאור השימוש הנרחב בהפצת וידאו ברשת אל מגוון של משתמשי קצה, החל משירותי סטרימינג בתשלום וכלה בשיחות ועידה מרובות משתמשים, שהן גם מרובות אבזרים. הבעיה נעוצה בעובדה שכל צרכן מקושר באמצעות רשת בעלת רוחב פס שונה, מסך בגודל שונה, מאיצי וידאו שונים ותוכנות הצגה שונות.

כדי שכל המשתמשים יוכלו ליהנות מחוויית וידאו זהה בזמן אמת, יש צורך לבצע הרבה מאוד קידודים של אותות הווידאו הנכנסים והיוצאים, בפרוטוקולים שונים, בקבצים שונים ובגדלי קובץ שונים. השרת מבצע את הפעולות האלה באמצעות 6 כרטיסי האצה מסוג Alveo U30 המופיעים של בגודל של U30 ומבוססים על רכיב מיתכנת Zynq® UltraScale+ אשר מיישם את מקודדי הווידאו הנדרשים. כל אחד מהכרטיסים תומך במקודדי H.264, H.265 ומסוגל להזרים עד 16 ערוצי HD – 1080p30 בו-זמנית.

החברה הכריזה על שרת וידאו נוסף, הכולל עד 8 כרטיסי האצה מסוג  Alveo U50 הכוללים זכרון מהיר מסוג HBM2 בנפח של 8GB וממשקי תקשורת מסוג 100GbE  ו-PCI Express 4.0. השרתים זמינים הן כמוצר מוגמר והן כתכנוני ייחוס. בתוך כך הודיעה זיילנקס שחברת HPE הסמיכה את כרטיסי ההאצה Alveo U50 ו-Alveo U250 עבור הדגמים החדשים של שרתי ProLiant. השרתים הראשונים שיכללו את הכרטיסים יהיו ProLiant DL380 ו-ProLiant DL385.

Xilinx הכריזה על רכיב מיתכנת לתנאי חלל הכולל בינה מלאכותית

חברת Xilinx זינקה על-פני שלושה דורות טכנולוגיים והכריזה השבוע על הרכיב מיתכנת חדש לשימושים בחלל החיצון, המיוצר בתהליך של 20 ננומטר, בהשוואה לדור הקודם שלה, אשר התבסס על טכנולוגיית ייצור שבבים בגיאומטריה של 65 ננומטר. הרכיב החדש, מדגם Kintex UltraScale XQRKU060 FPGA, מספק עוצמת עיבוד אותות (DSP) חזקה פי 25 מרכיבי הדור הקודם.

להערכת החברה, זהו רכיב החלל הראשון הכולל יכולות לימוד מכונה הנתמכות על-ידי הפלטפורמות התעשייתיות הסטנדרטיות, כמו TensorFlow ו-PyTorch. הדבר מאפשר לבצע בחלל פעולות עיבוד מבוססות בינה מלאכותית ולימוד עומק, כמו למשל עיבוד תמונה בזמן אמת לוויין עצמו. הרכיב החדש מספק עוצמת עיבוד של 5.7 טריליון פעולות בשנייה (Tera Operations Per Second).

כדי לשפר את יעילות עיבוד האותות, זיילינקס שילבה בו 2,760 יחידות DSP slice. השימוש ברכיבי FPGA יעיל במיוחד בעיבוד אותות מכיוון שהוא מאפשר חישוב מקבילי תפור לצורכי המשימה. יחידות DSP slice כוללות מכפלים ואוגרים לביצוע חישובים ייעודיים מהירים. רכיב XQRKU060 כולל ערוצי SerDes להמרה מהירה של תקשורת טורית/מקבילית. המערך כולל 36 מקמ"שי SerDes המגיעים למהירות העברת נתונים של עד 12.5Gbps כל אחד, ומספקים רוחב פס מצרפי של עד 400Gbps.

עמידה בתנאי SEE קיצוניים

הרכיב מופיע במארז קראמי בגודל של 40 מ"מ על 40 מ"מ, המספק הגנה בפני הרעש והרעידות של השיגור, ובפני הקרינה המאפיינת את העבודה בתנאי חלל. הרכיב עמיד בהפרעות מסוג SEE – Single Event Effect הנגרמות על-ידי קרינה קוסמית חזקה ועל-ידי פגיעת פרוטונים עתירי אנרגיה. העמידהבדרישות SEE מאפשרת שימוש ברכיב בלוויינים מנמיכי מסלול (LEO), בלווייני מסלול ביניים (MEO), בלוויינים גיאוסינכרוניים בגובה של 36,000 ק"מ (GEO) ובמשימות חלל עמוק (Deep Space).

סביבת הפיתוח כוללת את חבילת Vivado ואת סביבת Vitis Unified Software Platform של זיילינקס. בעתיד תתווסף גם חבילת הפיתוח Vitis AI לפיתוח יישומי הסקות ברשתות לימוד עומק. אומנם הרכיבים יהיו זמינים בשוק רק החל מחודש ספטמבר 2020, אולם כרטיסי הפיתוח עבורם, מסוג KCU105 Evaluation Kit ומסוג Kintex UltraScale Space Development Kit, כבר זמינים בשוק ומאפשרים להתחיל מיידית בפיתוח של אבות טיפוס.

למידע נוסף:  Radiation Tolerant (RT) Kintex® UltraScale

שמוליק פרייל מונה למנהל הפעילות של Xilinx בישראל

בתמונה למעלה (מימין לשמאל): מנהל הפעילות היוצא גדעון קדם, מנכ"ל Xilinx ויקטור פנג, והמנהל הנכנס שמוליק פרייל

שמוליק פרייל מונה למנהל הפעילות של חברת זיילינקס (Xilinx) בישראל ומחליף בתפקיד את גדעון קדם, שניהל את הפעילות בארץ במשך 11 השנים האחרונות. בנוסף, החל מחודש אפריל 2020 הוא גם ינהל את הפעילות של זיילינקס במזרח אירופה, לא כולל רוסיה. קדם סיפר ל-Techtime שהוא יוצא למספר חודשי חופשה, שלהם הוא מצפה זמן רב.

פרייל הצטרף לזיילינקס ישראל לפני כ-9 שנים אחרי 11 שנה בחברת איסטרוניקס. בזיילינקס הוא ניהל את סגמנט תעופה וביטחון, ולאחר מכן מוצרים תעשייתייים ומכשור רפואי, ובהמשך את ההפצה המקומית, אשר מבוצעת כיום על-ידי חברת אבנט (Avnet). לדבריו, השווקים החמים בישראל הינם תעשיה בטחונית, לקוחות תקשורת בכלל ודור חמש בפרט והתחלות מעניינות של פעילות בתחום ה-Data Center. כמו כן, תחום הרכב (האוטומוטיב) הינו תחום חם ומתפתח.

פרייל: "בישראל יש הרבה מאוד לקוחות בתחומי לימוד המכונה (ML) ובינה מלאכותית (AI). הטכנולוגיות האלה מגיעות היום כמעט לכל מקום, החל מהשוק הביטחוני, שוק הרכב, ועד לתחומי מרכזי הנתונים ועוד. "אנחנו רואים השתלבות של הסייבר בתעשיית הרכב. בין השאר, אנחנו מקדמים את תוכנת VITIS החדשה שלנו, המאפשרת למהנדסי תוכנה להשתמש ברכיבים מיתכנתים. התוכנה הזאת פותחת בפנינו קהילה חדשה של מהנדסי אלגוריתמים המתחילים לעבוד עם הכלים שלנו".

מנכ"ל זיילינקס העולמית: "ישראל היא מרכז חדשנות"

בשבוע שעבר קיימה זיילינקס את כנס הלקוחות השנתי שלה בישראל, בשיתוף עם חברת אבנט (Avnet). לכנס הגיעו יותר מ-600 מהנדסים מהתעשייה. לכנס הגיע גם מנכ"ל זיילינקס העולמית, ויקטור פנג, אשר סיפר למשתתפים שישראל מהווה מרכז של חדשנות חשוב מאוד לחברת זיילינקס. לדברי פנג, המשקל של השוק הישראלי בא לידי ביטוי בכך שקרן ההשקעות של החברה, Xilinx Ventures, ביצעה בחמש השנים האחרונות השקעות רבות בישראל וממשיכה בחיפוש אחר השקעות חדשות בארץ.

יותר מ-600 מהנדסים השתתפו בכנס שאירגנו זיילינקס ואבנט ישראל
יותר מ-600 מהנדסים השתתפו בכנס שאירגנו זיילינקס ואבנט ישראל

בין השאר, הוא גילה שחברת ECI מפתחת כיום את פלטפורמת ה-5G שלה על-גבי רכיבים מיתכנתים של החברה, ושחברת GuardKnox מלוד, אשר מפתחת מערכות הגנת סייבר עבור כלי-רכב, משתמשת בפלטפורמת העיבוד המיתכננת של זיילינקס. נודע שהחברה נמצאת בקשרים הדוקים עם 6 לקוחות טיר-1 בתחום תעשיית הרכב, בהן דיימלר, ב.מ.וו ואאודי, ושהיא מקווה להתחיל בייצור המוני כבר בסוף השנה.

לכנס הגיע גם סגן נשיא למכירות אזור EMEA של חברת אבנט, ז'יל בלטראן. לדבריו, השוק הישראלי מעניין מאוד את אבנט, מכיוון שהחברות בישראל מייצרות טכנולוגיות פורצות דרך. "הפיתוחים החדשים בישראל הם לעתים רבות אינדיקטור מוקדם למגמות שישלטו בשוק העולמי". בשיחה עם Techtime הוא העריך שתעשיית הרכב תמשיך להיות המגזר התעשייתי הגדול ביותר באירופה, ולאחריו תחום התעשייה החכמה המובל כיום על-ידי גרמניה.

ז'יל בלטראן, סגן נשיא למכירות אזור EMEA באבנט. "בישראל רואים מה יהיו המגמות בעולם"
ז'יל בלטראן, סגן נשיא למכירות אזור EMEA באבנט. "בישראל רואים מה יהיו המגמות בעולם"

בלטראן: "השפעת וירוס הקורונה על התעשייה ועל הייצור האלקטרוני עדיין לא ברורה. מצד אחד לסין יש משקל גדול מאוד בייצור העולמי, כך למשל כמעט 80% מהמעגלים המודפסים בעולם מיוצרים היום בסין, וזו רק דוגמא אחת. מנגד, קבלניות הייצור הגלובליות (EMS) יכולות לתמרן בין מתקני הייצור שלהן הפועלים בהרבה מדינות, והדבר יכול למתן את השפעות המשבר בסין".

מימין לשמאל: גדעון קדם, טל סגמן מנכ"ל אבנט ישראל, ויקטור פנג, ז'יל בלטראן ושמוליק פרייל
מימין לשמאל: גדעון קדם, טל סגמן מנכ"ל אבנט ישראל, ויקטור פנג, ז'יל בלטראן ושמוליק פרייל

סמינר Xilinx לפתרונות האצה יתקיים ב-25 בפברואר 2020

ביום ג', 25 בפברואר 2020 תקיים חברת זיילינקס (Xilinx) סמינר מקצועי בתחום פתרונות ההאצה שהחברה מספקת לתשתיות מיחשוב. הסמינר בשם Accelerating the Future event, ייערך בשיתוף עם חברת אבנט ישראל (Avnet) ובארגון אנשים ומחשבים, באולמי LAGO בראשון לציון. הכנס מיועד למפתחי חומרה ותוכנה ולחברות המתמודדות עם אתגרי מיחשוב תובעניים בפיתוח טכנולוגיות חדשות.

במהלך הסמינר יוצגו פתרונות העיבוד החדשים של החברה, בהם משפחת מוצרי Versal, המספקת פלטפורמת מיחשוב גמישה (Adaptive Compute Acceleration Platform) ייחודית. זוהי קטגוריה חדשה של מיחשוב הטרוגני, אשר מתחרה בארכיטקטורות הוותיקות המבוססות על CPU, GPU ו-FPGA. הרצאת המליאה המרכזית תינתן על-ידי נשיא ומנכ"ל זיילינקס העולמית, ויקטור פנג, שיגיע לארץ כדי להשתתף בסמינר.

המתכנתים נכנסים לעולם ה-FPGA

בארוע גם תוצג פלטפורמת התכנות המשולבת Vitis, אשר פותחת את עולם ה-FPGA בפני הקהילה העצומה של מפתחי תוכנות שאינם מכירים את חומרת ה-FPGA, ומתכננים מערכות בשפות תכנות קלאסיות כמו פייתון, C++, כלי פיתוח ייעודיים לבינה מלאכותית ועוד. זוה קבוצה חדשה שעד היום לא היתה לה גישה אל עולם המערכות המיתכנתות. לאחר מושב הפתיחה יתפצל הארוע לשני מושבים מקבילים: מושב Cloud Acceleration ומושב Embedded SW and Edge Acceleration.

מושב Cloud Acceleration יעסוק בנושאים כמו האצת רשת ופיתוח מתאימי רשת חכמים (SmartNIC), האצת וידאו ואנליטיקס במרכזי נתונים, האצת פעולות עיבוד תמונה בענן ועוד. המושב השני יתמקד בתחומי התוכנה המשובצת והאצה באבזרי קצה. הוא יעסוק בנושאים כמו האצת תוכנה, יישומים משובצים בפלטפורמת Versal, כלי בינה מלאכותית ובניית מנועי בינה מלאכותית ועוד. לצד ההרצאות תתקיים תצוגת פתרונות בהשתתפות השותפים העסקיים של זיילינקס בישראל.

למידע נוסף והרשמה: Accelerating the Future

אנלוג תובעת את זיילינקס על הפרת פטנטים בתחום המרת האותות

Xilinx Zynq UltraScale RFSoC

בתמונה למעלה: רכיב ממשפחת Zynq UltraScale+ RFSoC המצוי במוקד התביעה של אנלוג דיוייסז

חברת אנלוג דיוייסז (Analog Devices) תובעת את חברת זיילינקס (Xilinx) בבית המשפט המחוזי בדלאוור על הפרת שמונה פטנטים רשומים שלה בתחום המרת אותות אנלוגיים לדיגיטליים (ADC) בתוך שבבי העיבוד המיתכנת (FPGA) ממשפחת Zynq UltraScale+ RFSoC. לטענת החברה, לפחות שניים מהרכיבים במשפחה הזאת מפירים את הפטנטים שלה בתחום המרת האותות. "חברת אנלוג היא מובילה טכנולוגית בתחום האנלוג, האותות המעורבים ורכיבי הספק", אמר סגן נשיא בכיר בחברה, לארי וייס. "בשנה האחרונה לבדה השקענו מיליארד דולר במחקר ופיתוח, ואנחנו נחושים להגן על הקניין הרוחני שלנו".

אנלוג דורשת פיצויים ומבקשת מבית המשפט להורות על הפסקת מכירת המוצרים של זיילינקס שלטענתה מפירים את הפטנטים שלה. בתגובה הודיעה חברת זיילינקס שהיא מתכוננת להתגונן בנחישות כנגד התביעה. "לזיילינקס יש היסטוריה של יצירת טכנולוגיות חדשניות לאורך עשרות שנים. עם השקת רכיבי Zynq UltraScale+ RFSoC ייצרנו משהו שנתפש כבלתי אפשרי – פלטפורמה אלחוטית מסתגלת בשבב יחיד. באמצעות התביעה שלה, אנלוג בוחרת במסלול המשפטי במקום בתחרות בשוק".

התביעה ממוקדת בפרוייקט הדגל הגדול ביותר של זיילינקס

הרכיב המצוי במוקד הסכסוך הוכרז בחודש ינואר השנה ונחשב לרכיב הגדול והמורכב ביותר בהיסטוריה של זיילינקס. התביעה ממוקדת במספר טכנולוגיות ששולבו בממירי ה-ADC המצויים בתוך השבבים של זיילינקס, דוגמת טכנולוגיית Direct RF sampling, ארכיטקטורת הממירים, מעגלי פיצול ועוד.

לטענת אנלוג דיוייסז מדובר בטכנולוגיות שלה שזייילנקס נחשפה אליהן במסגרת שיתוף פעולה שנמשך מספר שנים כאשר אנלוג סייעה לזיילינקס לפתח את משפחות הרכיבים המיתכנתים Kintex 7, Kintex UltraScale , Virtex 7, Virtex UltraScale והרכיבים הראשונים במשפחת Zynq UltraScale.

בעקבות הגשת התביעה, עלתה מניית אנלוג דיוייסז בבורסת נסד"ק בכ-2.5% וכעת היא נסחרת לפי שווי שוק של כ-42.7 מיליארד דולר. המנייה של חברת זיילינקס עלתה בכ-2% וכעת היא נסחרת בנסד"ק לפי שווי שוק של כ-23.1 מיליארד דולר.

פרוייקט פיתוח שנמשך 10 שנים

הרכיב המצוי במוקד הסכסוך הוכרז בחודש ינואר השנה ונחשב לרכיב הגדול והמורכב ביותר של זיילינקס. הוא משלב במארז אחד את משפחת +Zynq UltraScale הכוללת לצד מעבד פנימי מרובע ליבות ( ARM Cortex-A53), גם רכיב מיתכנת מסוג Zynq, ממשקי תקשורת מהירים דוגמת PCIe דור 3 ודור 4, מקמ"שי 33Gbps והחידוש הגדול ביותר: מעגלים אנלוגיים המבוססים על ממירי ADC ו-DAC מהירים שפותחו בחברת זיילינקס ומופיעים בתצורות של 8ADC/8DAC ו-16ADC/16DAC.

מדובר בפרוייקט פיתוח יוצא דופן בתעשיית השבבים, שנמשך כמעט 10 שנים לפני שהוא יצא לשוק. מנהל קו המוצרים החדשים בחברת זיילינקס ומי שהיה האחראי על פיתוח השבב החדש, ואוטר סוברקרופ, ביקר לפני מספר חודשים בישראל וסיפר ל-Techtime שהחברה פיתחה עבורו גם תהליך ייצור ייחודי.

ואוטר סוברקרופ, המשמש מנהל קו המוצרים החדשים בחברת זיילינקס
ואוטר סוברקרופ, מנהל קו המוצרים החדשים בחברת זיילינקס

סוברקרופ: "התכנון של מעגלי אותות מעורבים בתדרים גבוהים הוא מסובך מאוד, ודורש אופטימיזציה מיוחדת לתהליכי הייצור. "אחד מההישגים של הרכיב החדש נעוץ בבכך שהצלחנו לייצר את המעגלים הדיגיטליים ואת המעגלים האנלוגיים על פיסת סיליקון אחת, המיוצרת מטרנזיסטורי FinFET בתהליך 16 ננומטר של חברת TSMC".

מה הם שוקי היעד המרכזיים של השבב החדש?

"השווקים המרכזיים צפויים להיות בתחום תשתיות הדור החמישי (5G) , תקשורת בכבלים בפרוטוקולי DOCSIS ויישומי מכ"ם כמו Phased Array. השילוב של המעגלים האנלוגיים והדיגיטליים בשבב אחד חוסך למפתחים כ-20% מזמן הפיתוח, מצמם את שטח הכרטיס בכ-50% ומשפר את מהירות התקשורת הפנימית. במשפחת RFSoC אנחנו מספקים יכולת דגימה בשיטת Direct RF sampling, שבה ניתן לדגום את האות ישירות מהאנטנה ברזולוציה של 12 סיביות וברוחב פס של 4GHz, ללא צורך לבצע הפחתת תדר. הדבר מאפשר לבצע דיגיטציה של האות המלא, ולאחר מכן ליישם מעגלים אנלוגיים רבים באמצעות תכנות ה-FPGA".

זיילינקס מתחרה במובילאיי בתחום ה-ADAS

חברת זיילינקס  (Xilinx) ממשיכה במסע שנועד להביא אותה למעמד של ספקית פלטפורמות עיבוד הנשענות על טכנולוגיית FPGA, ולא כספקית של רכיבי FPGA. במהלך כנס המפתחים שהיא קיימה השבוע בהאג, הולנד, הציג נשיא ומנכ"ל החברה, ויקטור פנג, את השבב ואת כרטיס הפיתוח החדש עבור רכיבי Versal (בתמונה למעלה), שהם המוצר הראשון של החברה הנחשב לפלטפורמת ACAP – Adaptive Compute Acceleration Platform. זוהי קטגוריה חדשה של מיחשוב הטרוגני, אשר מתחרה בארכיטקטורות הוותיקות המבוססות על CPU, GPU ו-FPGA.

מדובר בשבב ענק הכולל חבילה של משאבי עיבוד מיתכנתים, אשר מיוצר בתהליך של 7 ננומטר, כולל יותר מ-2 מיליון תאים לוגיים מיתכנתים ומיועד להשתלב בכל השווקים החדשים, דוגמת תשתיות ענן, בינה מלאכותית ורשתות הדור החמישי. במקביל, פנג הכריז על העברת חבילת הפיתוח Vitis לשימוש חופשי ותמיכה מלאה בקהילת הקוד הפתוח, על-מנת שזו תרחיב את קהילת המשתמשים של Vitis. זוהי קהילת לקוחות חדשה, ושוק שלא היה נגיש לזיילינקס עד היום.

"בוא נראה כמה GPUs יגיעו באמת לכביש"

המהלך הזה משנה את כללי המשחק מבחינת זיילינקס: עד היום השימוש ברכיבי החברה דרש פיתוח באמצעות מהנדסי חומרה המשתמשים בתוכנת Vivado לביצוע תכנוני RTL. הפיתוח באמצעות Vitis פונה לסוג חדש של לקוחות: הקהילה העצומה של מפתחי תוכנות שאינם מכירים את חומרת ה-FPGA, ומתכננים מערכות בשפות תכנות קלאסיות כמו פייתון, C++, כלי פיתוח ייעודיים לבינה מלאכותית ועוד. על-מנת לתמוך בהם, היא גם פתחה את האתר developer.xilinx.com.

בתשובה לשאלת Techtime, הוא אמר שבאמצעות הגישה החדשה הרחיבה זיילינקס את שוק היעד שלה לפחות בסדר גודל שלם, למספר דו-ספרתי של מיליארדי דולרים, "מכיוון שאנחנו כבר לא חברת חומרה – אלא חברת פלטפורמה. לכן בשוק לא משווים אותנו כיום לאלטרה (שנירכשה על-ידי אינטל), אלא לאנבידיה. ואנחנו מתחרים בחברות כמו אינטל ומובילאיי.

"אומנם לאנבידיה יש פלטפורמה טובה, אבל היא הגיעה מהשוק הצרכני. אנחנו לעומת זאת מגיעים מהשוק של יישומים קריטיים הדורשים אמינות גבוהה מאוד. אנחנו יכולים לתת משהו שהוא רחב בהרבה מאשר GPU. צריך לזכור שכאשר יש בעיית אמינות ברכב, אנשים יכולים למות. בואו נראה כמה מערכות GPU יהיו במכוניות שבאמת ינועו בכביש".

המטרה: שוק ה-ADAS

התחרות מול מובילאיי התעצמה השבוע בעקבות ההכרזה על הסמכת שני הרכיבים החזקים ביותר במשפחת UltraScale+ לשימוש בתעשיית הרכב, לאחר שעמדו בכל מבחני תקן תעשיית הרכב AEC-Q100 (בתמונה למטה). מדובר ברכיבים המיוצרים בתהליך של 16 ננומטר: MPSoC 7EV שקיבל את הכינוי Zu7 והרכיב MPSoC 11EG, שקיבל את הכינוי Zu11. החברה מייעדת אותם בין השאר לשמש כמנועי החישוב של מערכות ADAS ומאיצים פנימיים בתוך כלי-רכב אוטונומיים. הרכיבים כוללים מעבד Cortex-A53 מרובע ליבות ומעבד Cortex-R5 בעל שתי ליבות של חברת Arm, שקיבלו הסמכת ASIL-C.

כל רכיב כולל כ-650,000 תאים לוגיים מיתכנתים וקרוב ל-3,000 יחידות DSP אלמנטריות (DSP slices) הכוללות אוגרים ומכפלים, פי 2.5 מהרכיב החזק ביותר של המשפחה. רכיב 7EV כולל מקודד וידאו h.264/h.265  ורכיב 11EG כולל 32 מקמ"שי PCIe Gen3 העובדים בקצב של עד 12.5Gb/s. התוספות האלה נועדו לסייע ליצרני מצלמות רכב, מערכות רובוטקסי וספקי מכלולים לתעשייה (Tier-1), לספק את יכולות האצת העיבוד והתשתית המיחשובית (DAPD – Data Aggregation, Pre-processing, and Distribution) הדרושים לבניית רכב אוטונומי.

במהלך מפגש עם עיתונאים ואנליסטים, הסביר מנהל תחום הרכב בחברה, ווייל ליונס, שהרכב החכם והרכב האוטונומי נחשבים למנוע צמיחה עתידי של החברה. "עד היום הותקנו רכיבי MPSoC בכ-167 מיליון כלי-רכב, מתוכם 67 מיליון רכיבים הותקנו במערכות ה-ADAS. הם מותקנים במערכות LiDAR, במצלמות רכב, במראות מבוססות מצלמה ובחיישני מכ"ם ממונעים".

וויין ליונס: "אם מישהו רוצה להתחרות במובילאיי - הוא מגיע אלינו"
וויין ליונס: "אם מישהו רוצה להתחרות במובילאיי – הוא מגיע אלינו"

בראיון בלעדי ל-Techtime, הבהיר ליונס שהתחרות מול מובילאיי איננה מתבצעת ישירות, אלא באמצעות הלקוחות. ליונס: "מובילאיי היא חברה טובה מאוד, אולם הפתרון שלהם מבוסס על שילוב של חומרה ותוכנה במערכת אחת. אנחנו מספקים טכנולוגיית ליבה לחברות המעוניינות להתחרות במובילאיי ברמת הפתרון המלא.

"כיום למשל אנחנו עובדים עם חברה בסין, אשר מפתחת מוצר בעל יכולת של השבב EyeQ5 של מובילאיי, אבל באמצעות הפלטפורמה שלנו. היישום שלהם מבוסס על מימוש של רשת נוירונית. זהו מוצר AI מלא, והם משתמשים בשבב ZynQ 7000 המיוצר בתהליך של 28 ננומטר. מאוד מסקרן לדעת מה הם יוכלו לעשות עם הרכיב החדש, המיוצר ב-16 ננומטר. בוא נאמר שאם מישהו רוצה להתחרות במובילאיי או לייצר משהו חדש בתחום שלה – אנחנו אחת מהחברות הראשונות שהוא ידבר איתן".

Xilinx הכריזה על רכיב ה-FPGA הגדול בעולם

חברת זיילינקס (Xilinx) הכריזה על רכיב ה-FPGA הגדול ביותר בתולדותיה' המיועד ליישומי בניית אבות טיפוס של שבבי ASIC ולפיתוח תוכנות לפני סיום התכנון. הרכיב החדש מדגם VU19P מבוסס על ארכיטקטורת Virtex UltraScale+ ובנוי מ-34.5 מיליארד טרנזיסטורי FinFET המיוצרים בתהליך של 16 ננומטר. להערכת החברה, מדובר בפתרון ה-FPGA הגדול ביותר בעולם: הוא כולל 9 מיליון תאים לוגיים (logic cells) ומספק 2,072 נקודות קלט/פלט (GPIO).

הרכיב כולל ערוץ תקשורת אל זכרון DDR4 העובד במהירות של עד 1.5 טרה-ביט לשנייה ולצידו 80 מקמ"שים (טרנסיברים) ברוחב סרט של עד 4.5 טרה-ביט לשנייה. הרכיב מיועד לבדוק תכנוני RTL של רכיבי ASIC גדולים מאוד (SoC) ולאפשר בדיקת תוכנות הנמצאות בפיתוח, עוד לפני שהשבב עצמו מוכן (אמולציה). החברה הודיעה שהרכיב החדש יגיע לשוק בתחילת 2020, ביחד עם חבילת תוכנות בדיקה וניתוח, מודולי IP ותמיכה של חבילת התכנון Xilinx Vivado Design Suite.

פתרון פרוטוטייפינג מהדור השלישי

מבחינת זיילינקס, מדובר בדור השלישי של רכיבי-ענק המיועדים לתמוך בפיתוח. הרכיב הראשון, 7V2000T יצא לשוק בשנת 2011 כשהוא מבוסס על תהליך ייצור של 28 ננומטר וסיפק 2 מיליון תאים לוגיים. הרכיב השני במשפחה, VU440, יצא לשוק בשנת 2015, כשהוא מיוצר בתהליך של 20 ננומטר וכולל 5.5 מיליון תאים לוגיים. הרכיב השלישי במשפחה (VU19P) מיוצר מטרנזיסטורי FinFET כדי להשיג מהירות תגובה גבוהה במיוחד. הוא מופיע במארז Lidless packaging המאפשר מגע ישיר בין הסיליקון ובין מערך פיזור החום (heat sink), כדי להשיג יכולות קירור חזקות ויכולת עבודה בתנאים רגישים לטמפרטורה.

למרות שהוא תוכנן עבור פרוטוטייפינג ואמולציה, החברה מסרה שניתן ליישם באמצעותו רכיבי מיחשוב, תקשורת, תעופה וביטחון. אולם המשימה המרכזית שלו היא לספק מענה לשינויים המתחוללים בשוק תכנון השבבים: המגמות החדשות של בינה מלאכותית (AI) ולימוד מכונה (ML), רכב אוטונומי, הדור החמישי (5G) ועיבוד תמונה, מייצרים גל חדש של שבבים גדולים מאוד ומורכבים מאוד, בעלי ארכיטקטורות חדשות ועשירים בקוד תוכנה.

בדיקת התכנון ובניית אבות טיפוס שלהם דורשת מנועים חזקים במיוחד. ההתקנה של ערוצי GPIO רבים מאפשרת לפשט את התכנון של פלטפורמות מרובות FPGAs, והמקמ"שים הרבים והמהירים ברכיב החדש מאפשרים לקשר את התכנון אל מערכות צב"ד מורכבות ולהבטיח תאימות לתקנים עתידיים חדשים של ממשקי תקשורת.

זייליקנס הכריזה על מיחשוב הטרוגני מסוג חדש

חברת זיילינקס (Xilinx) פיתחה ארכיטקטורה חדשה של מיחשוב הטרוגני, הכולל את כל היכולות של CPU ,GPU ו-FPGA בשבב יחיד, המאפשר להתאים את משאבי המיחשוב באופן דינמי בהתאם למשימת החישוב הנדרשת. הארכיטקטורה הזאת נקראת ACAP – Adaptive Compute Acceleration Platform וממומשת באמצעות שבב יחיד במשפחת Versal החדשה.

השבב מיוצר על-ידי TSMC בתהליך של 7 ננומטר. מדובר בשבב ענק הכולל מספר מעבדי Cortex-A72 ו-Cortex-R5F לזמן אמת של חברת ARM, יותר משני מיליון תאים לוגיים הבונים את תשתית ה-FPGA וכ-3,000 מעבדי DSP המותאמים לחישובי נקודה צפה מהירים, אשר מיישמים את הפונקציות של מעבדי GPU. כל המודולים הפנימיים מקושרים באמצעות רשת תקשורת פנימית (Network-on-Chip), המספקת מהירות העברת נתונים של יותר מ-1Tbps בין המודולים.

התעשייה מחפשת תחליף לחוק מור

"עבור זיילינקס מדובר באבן דרך היסטורית, המבוססת על כל מה שלמדנו ב-35 השנים האחרונות", הסביר מנכ"ל החברה, ויקטור פנג. "ארכיטקטורת Versal ACAP היא חידוש טכנולוגי מהותי, אשר יניע מהפיכה חדשה של מיחשוב הטרוגני המותאם לכל יישום". המשפחה יוצאת לשוק בשתי גרסאות: רכיבי Versal Prime לשימושים כלליים ורכיבי Versal AI Core המשמשים כמעבדי בינה מלאכותית. הרכיבים כוללים ממשקי PCIe ומותאמים לעבודה בענן. הם יהיו זמינים בשוק החל מהמחצית השנייה של 2019.

חברת זיילינקס הסבירה בנייר עמדה המלווה את ההשקה, שהקשיים בשיפור תהליכי המזעור בתעשיית השבבים גרמו לכך שהתפיסה המסורתית שלפיה מנוע חישוב מסוג CPU מתאים לכל המשימות, כבר אינה נכונה. עד היום, ה-CPU התאים לרוב המשימות, מכיוון שתהליכי המיזעור שהוגדרו על-ידי חוק מור הוסיפו עוצמת חישוב ל-CPU בכל פעם שהגיע לקצה גבול היכולת.

"כיום התהליך הזה נבלם, ולכן התעשייה מחפשת פתרונות חדשים באמצעות התאמת הארכיטקטורה לסוג משימות החישוב (domain-specific architecture), ומשתמשת מעבדי DSP ו-GPU לעיבוד וקטורי וברכיבי FPGA לעיבוד מקבילי".

דיאגרמת מלבנים של ארכיטקטורת ACAP החדשה
דיאגרמת מלבנים של ארכיטקטורת ACAP החדשה

הבעיה נעוצה בכך שכל ארכיטקטורה מתאימה לבעיה שונה: מנועים סקלריים כמו CPU מתאימים למימוש אלגוריתמים מורכבים הכוללים הרבה ענפי משנה של קבלת החלטות. מעבדים וקטוריים כמו DSP ו-GPU יעילים מאוד במספר מוגבל של פעולות חישוב חוזרות על עצמן וניתנות למיקבול, ומעגלים לוגיים מיתכנתים כמו FPGA ניתנים להתאמה מדוייקת למשימת העיבוד – אולם קשה מאוד לבצע בהם שינויים באלגוריתם.

פלטפורמה הטרוגנית לכל סוגי העיבוד

הארכיטקטורה החדשה נועדה לספק פלטפורמה מאוחדת לכל סוגי הדרישות האלה, באמצעות שילוב של מעבדים סקלריים, מעבדים וקטוריים ומעגל מיתכנת בתוך שבב יחיד, עם אפשרות להגדיר באמצעות תוכנה את התמהיל הדרוש עבור כל אחת ממשימות החישוב. להערכת החברה, הארכיטקטורה הזאת מספקת שיפור גדול בביצועים בהשוואה לפלטפורמות מתחרות.

כך למשל, במבחן GoogLeNet v1 רכיבי Versal ACAP מבצעים משימת זיהוי תמונה במהירות גבוהה פי 43 ממעבדי CPU, פי 2 ממעבדי GPU ופי 5 ממעבדי FPGA. הפלטפורמה החדשה משפרת את הביצועים גם ביחס ליישומי FPGA קלאסיים, כמו עיבוד אותות מכ"ם או עיבוד אותות ADAS במערכות עזר בטיחותיות ברכב.

מכירות שיא בשנת 2019

ההכרזה החדשה מגיעה לאחר שהחברה דיווחה על תוצאות שיא בשנה הפיננסית 2019, שהסתיימה בחודש מרץ השנה. המכירות הסתכמו בכ-3.06 מיליארד דולר, בהשוואה לכ-2.47 מיליארד דולר בשנת 2018. הצמיחה הגדולה ביותר היתה בשוק מרכזי הנתונים, שבהם החיפוש אחר פתרונות עיבוד חדשים מעבר ל-CPU הקלאסי, הביא לצמיחה של 40% במכירות.

אחריו הגיע שוק התקשורת האלחוטית, שבו הדרישה לתשתיות 5G (בייחוד בקוריאה ובסין) הביאה לצמיחה של 34% במכירות. החברה מסרה שמכירותיה בתחום הרכב צמחו בשיעור "דו-ספרתי" אודות למעמדה בשוק מערכות ה-ADAS. בעקבות ההכרזה על ארכיטקטורת ACAP החדשה, עלתה מניית זיילינקס בנסד"ק מכ-104 דולר לכ-112 דולר למנייה, והחברה נסחרת כיום לפי שווי שוק של כ-28.47 מיליארד דולר.

צמיחה של 8.5% בשנה: שוק ה-FPGA יוצא מהקיפאון

בעשור האחרון נראה היה ששוק רכיבי ה-FPGA המיתכנתים תקוע סביב היקף מכירות ממוצע של כ-5 מיליארד דולר בשנה, ולא מצליח לצאת מהגטו הטכנולוגי שגבולותיו מסומנים על-ידי מחיר גבוה ומתחרות מול רכיבי ASIC ייעודיים. אלא שבשנה האחרונה מסמן שינוי מהותי בשוק, בעקבות משקלם הגובר של הרכיבים המיתכנתים בשוקי צמיחה חדשים כמו מערכות ADAS בכלי-רכב, האצת הביצועים של שרתים, בינה מלאכותית ושוק ה-IoT.

להערכת חברת המחקר Mordor Intelligence, בשנת 2017 הסתכם שוק ה-FPGA העולמי בכ-5.9 מיליארד דולר, אולם הוא צפוי לצמוח בשנים הקרובות בקצב של כ-8.6% בשנה ולהגיע להיקף של כ-9.8 מיליארד דולר בשנת 2023. רכיבי FPGA הם רכיבים המאפשרים לממש פעולות לוגיות באמצעות תוכנה במקום באמצעות חומרה.

ליבת הטכנולוגיה מבוססת על שימוש באלמנטים יסודיים הכוללים טבלת אמת מצומצמת (lookup table – LUT). ה-LUT הוא מעין זכרון זעיר המגדיר מה יהיה המוצא לכל כניסה מוגדרת. על-ידי כך הוא יכול לספק אלטרנטיבה לשער לוגי. הקישור והגדרת הקשר בין בלוקי LUT רבים, מייצרת התנהגות זהה לזו של מעגל דיגיטלי ייעודי (ASIC). ההבדלים ביו הרכיבים השונים מתבטאים בעיקר בגודל ה-LUT, מספר אלמנטי ה-LUT, טכנולוגיית הזיכרון, גודל הצומת ומעגלי התמיכה מסביב למערך הליבה של ה-FPGA.

תפקיד חדש בשווקים חדשים

עד לשנים האחרונות שימשו רכיבי FPGA בעיקר לצורך אימות תכנוני ASIC או לייצור בכמויות קטנות שבהן לא היתה כדאיות בכניסה לפרוייקט ASIC יקר, אלא ששינויים טכנולוגיים שהתחוללו לאחרונה משנים את פני שוק ה-FPGA. יצרניות שרתים גדולות גילו שהתקנת רכיב FPGA  לצד ה-CPU בשרתים הגדולים מאפשרים להאיץ את פעולות החיפוש והאנליטיקה באמצעות הפחתת העומס על ה-CPU. התחום הזה נמצא בהתפתחות מהירה, וכיום מתפתחת תחרות בין רכיבי FPGA לבין מעבדי GPU בשוק האצת הביצועים של שרתים.

התפתחות שוק ה-IoT מספק הזדמנות נוספת, מכיוון שרכיבי FPGA קטנים יכולים לספק מענה תפור לדרישות העיבוד המוגבלות של אבזרי הקצה, ללא צורך בהתקנת רכיבים מהמדף שבמקרים רבים מספקים ביצועי-יתר. שוק הבינה המלאכותית מעניק דחיפה נוספת לתחום ה-FPGA, במיוחד בתחום הרשתות הנוירוניות ולימוד עומק. יישומים אלה דורשים עיבוד מהיר, וגמיש מאוד.

ארכיטקטורת עיבוד גמישה

הרכיבים המיתכנתים מאפשרים להתאים את ארכיטקטורת העיבוד לדרישות המשתנות של הרשת הנוירונית ולספק מיחשוב מותאם לצורך, ובזכות המבנה שלהם מסוגלים לספק יכולות של חישוב מקבילי. יכולת המאיצה את הרחבת השימוש בהם גם במערכות תקשורת אופטיות וגם במערכות הדורשות עיבוד נתונים מקבילי, כמו למשל עיבוד מידע המגיע מהחיישנים ברכב אוטונומי, ומערכות הדור החמישי הדורשות עיבוד מקביל של המידע המגיע ממערך גדול מאוד של אנטנות (MIMO).

שתי החברות הגדולות ביותר בשוק הן אינטל וזיילינקס (Xilinx). אינטל נכנסה לתחום הרכיבים המיתכנתים בשנת 2015 כאשר היא רכשה את Altera תמורת 16.7 מיליארד דולר. עד היום זוהי עיסקת הרכישה הגדולה ביותר בתולדותיה. העיסקה הזו נולדה מהצורך לספק תשתיות מיחשוב מסוג חדש לעולם הענן והשרתים, אשר דרשו יכולות עיבוד מהירות שמעבדי CPU סטנדרטיים אינם מסוגלים לספק. חברת זיילינקס נחשבת למי שהמציאה את תחום ה-FPGA. בשנת הכספים 2017 הסתכמו מכירותיה בכ-2.3 מיליארד דולר, ב-2018 הן צמחו לכ-2.5 מיליארד דולר, ולשנת הכספים 2019 היא חוזה מכירות של 2.7-2.8 מיליארד דולר.