סמסונג מקימה בטקסס מפעל של 2 ננומטר

משרד המסחר האמריקאי הודיע כי יעניק מענק בגובה של 6.4 מיליארד דולר לחברת סמסונג לצורך הקמת שני פאבים לייצור ואריזת שבבים, כמו גם מרכז מו"פ, בעיר טיילור שבמדינת טקסס. התמריצים ניתנים לסמסונג במסגרת חוק CHIPS שהוביל ממשל ביידן על מנת לבסס פעילות ייצור שבבים על אדמת ארצות הברית ולהפחית את התלות בייצור חיצוני.

כיום יש לסמסונג שני פאבים בעיר אוסטין, לא רחוק מהעיר טיילור. הפרויקט צפוי לייצר יותר מ-21 אלף מקומות עבודה. כמו כן, כ-40 מיליון דולר יושקעו בהכשרת עובדים מיומנים לתעשיית השבבים. בנוסף למענק מהממשל האמריקאי, סמסונג הכריזה כי בכוונתה להשקיע כ-40 מיליארד דולר בפיתוח יכולות ייצור בטקסס. במשרד המסחר האמריקאי מעריכים כי הודות להשקעות הללו, עד 2030 ארצות הברית תהיה אחראית לייצור כחמישית מהמעבדים בעולם.

לפי דיווחים בארצות הברית, הפאבים יכללו קווי ייצור שבבים ב-2 וב-4 ננומטר. לפי אותם דיווחים, ייצור השבבים ב-2 ננומטר יהיה זמין כבר ב-2026, ובכך תקדים סמסונג את TSMC. לפני מספר שבועות הודיעה משרד המסחר האמריקאי כי הגיע להבנות ראשוניות עם TSMC למתן מענק של עד 6.6 מיליארד דולר, ועוד כ-5 מיליארד דולר בהלוואות, לצורך הקמת פאב שני באריזונה, אשר צפוי לייצר ב-2 ננומטר רק ב-2028.

ביוני 2023 הציגה סמסונג מפת דרכים לפיה כבר ב-2025 תייצר שבבים ב-2 ננומטר לשוק הסמרטפונים. ב-2026 יהיה זמין התהליך לתחום מחשוב עתיר-ביצועים (HPC) וב-2027 לשוק הרכב. באחרונה דיווחה סמסונג כי זכתה בחוזה ראשון לייצור המוני ב-2 ננומטר. סמסונג לא חשפה את זהות הלקוח, אך לפי דיווחים בתקשורת הקוריאנית מדובר בסטארט-אפ יפני בשם PFN, המתמחה בייצור שבבי AI.

קוריאה תשקיע 7 מיליארד דולר בשבבי AI

בתמונה למעלה: נשיא קוריאה, יון סוק יאול. צילום: משרד הנשיא

דרום קוריאה תשקיע 6.94 מיליארד דולר בתחום הבינה המלאכותית (AI) עד לשנת 2027, במאמץ לשמור על מעמדה בתחום השבבים המתקדמים. כך הודיע אתמול נשיא קוריאה, יון סוק יאול, בהצהרה מיוחדת. "בתחום השבבים מתקיימת מלחמה תעשייתית כוללת בין כל המדינות", הוא הסביר. תעשיית הסמיקונדקטורס היא מרכיב מרכזי בייצוא של דרום קוריאה: בחודש מרץ 2024 הסתכם ייצוא השבבים של המדינה בכ-11.7 מיליארד דולר – חמישית מהייצוא של הכלכלה הרביעית בגודלה באסיה.

סוכנות רוטרס מסרה שהדברים נאמרו במפגש מיוחד שנערך אתמול (ג') בין פקידי ממשלה לבין נציגים מתעשיית השבבים הקוריאנית. במסגרת המדיניות הזאת, קוריאה מתכננת להקים קרנות השקעה ולבצע השקעות ישירות כדי להאיץ את המחקר והפיתוח של שבבי בינה מלאכותית, כמו מעבדים לרשתות נוירוניות (NPU), ורכיבי זכרון רחבי פס, המאפשרים גישה מהירה אל הנתונים כדי לאפשר עיבוד מידע בכמויות גדולות מאוד.

הנשיא הציב לקוריאה מטרה להיות אחת משלוש המדינות המובילות בעולם בתחום הבינה המלאכותית, ולהשיג לפחות 10% משוק השבבים העולמי עד שנת 2030. "כמו ששלטנו בשוק רכיבי הזיכרון ב-30 השנים האחרונות, אנחנו נייצר אגדת שבבים נוספת עבור 30 השנים הבאות, באמצעות שבבי בינה מלאכותית".

TSMC ו-UMC סגרו מפעלים בעקבות רעידת האדמה

רעידת האדמה שזיעזעה אתמול את טאיוואן מרעידה גם את תעשיית השבבים הגדולה של המדינה. רעידת האדמה בעוצמה של 7.4 בסולם דרגות היכתה אתמול ב-08:00 בבוקר בחלקו המזרחי של האי, ונחשבת לרעש החזק ביותר במדינה ב-25 השנים האחרונות. עד היום דווח על 50 הרוגים, יותר מ-800 פצועים וכ-50 נעדרים. הפגיעה העיקרית היתה בעיר התיירות Hualien השוכנת בחוף המזרחי של האי, ונמצאת כ-120 ק"מ מדרום לבירה טאיפה.

קבלנית ייצור השבבים הטאיוואנית TSMC הודיעה לעיתון ניקיי שהיא סגרה חלק ממתקני הייצור שלה בטאיוואן במסגרת צעדי זהירות שהיא נוקטת בפני רעידות אדמה נוספות. "מספר מתקני ייצור פונו מעובדים, ובחלק מהם העובדים מתחילים לחזור בהדרגה". החברה כרגע מבצעת עבודות להערכת השפעת הארוע. בנוסף, TSMC הודיעה שהיא הפסיקה את עבודות הבנייה באתרי ייצור חדשים הנמצאים בהקמה, והעבודות יתחדשו לאחר השלמת בדיקות וביצוע הערכות.

גם חברת UMC דיווחה על פינוי קווי ייצור: "מספר מכונות ייצור שבבים הפסיקו לעבוד, וכעת הצוותים שלנו בודקים אותן במטרה להחזיר את הייצור למתכונת הרגילה במהירות האפשרית", מסר מנהל הכספים של החברה לניקיי היפני. מאוחר יותר פירסמה UMC דיווח מיוחד שבו היא מסרה שרעידת האדמה הפעילה מערכות אבטחה אוטומטיות במפעלי החברה בעיר Hsinchu ובמתקן הייצור Fab 12A בעיר Tainan. "מספר פרוסות סיליקון בקווי הייצור נפגעו, אולם כעת הפעילות חוזרת לשגרה הרגילה והארוע לא צפוי להשפיע עסקית או פיננסית על UMC".

הריכוז התעשייתי מעורר דאגה

חברת המחקר TrendForce פירסמה סקר מהיר של השפעת רעידת האדמה. מהבדיקה שלה עולה שהיא השפיעה גם על מפעל ייצור DRAM של Micron ומפעל ייצור DRAM של Nanya. "שניהם צפויים לחדש את הפעילות בתוך מספר ימים". מפעל Fab 12 של TSMC סבל מדליפות מים בשל נזקים לצנרת, אולם השפעתו על החברה תהיה קטנה מאוד מכיוון שהוא מייצר בטכנולוגיית 2 ננומטר שעדיין לא נכנסה לשלב הייצור ההמוני. "רעידת האדמה הורגשה גם במפעלים אחרים של TSMC המייצרים רכיבים בטכנולוגיות של 3, 4 ו-5 ננומטר, אולם הם לא פינו עובדים והצליחו לחזור לכ-90% מהפעילות בתוך 6-8 שעות מרעידת האדמה".

חברת TSMC לא דיווחה על מהות והיקף הנזק, אולם ראוי לציין שהיא מייצרת את השבבים המתקדמים ביותר בעולם בטכנולוגיות מתקדמות של פחות מ-7 ננומטר. הייצור שלהם דורש עבודה שוטפת בתנאי ואקום מבוקרים וללא הפסקה. קיים חשש שאם היו הפרעות, הן גרמו להרס אצוות ייצור שלמות. טאיוואן היא שחקנית מרכזית בתעשיית הסמיקונדקטור העולמית, והדבר העלה בעבר חששות רבים מתופעת הריכוז הזו, בעיקר לנוכח המתיחות מול סין והחשש ממלחמה בטאיוואן.

בעולם מוכרות בעיקר קבלניות הייצור הגדולות TSMC ו-UMC, אולם האקו-סיסטס של טאיוואן בתחום הוא רחב בהרבה: כיום פועלים במדינה 77 מפעלי ייצור שבבים (בהשוואה ל-76 מפעלים בארה"ב), ובמדינה פועלות יותר מ-1,000 יצרניות סמיקונדקטור בכל מגזרי התעשייה: מחברות ייצור, חברות פאבלס ועד חברות IP וספקיות שירותי תכנון. בתקשורת הכלכלית דווח שגם יצרניות הצגים AUO ו-Innolux סגרו את קווי הייצור.

מועצת החדשנות האירופית השקיעה בניוריאליטי

בתמונה למעלה מימין לשמאל: צביקה שמואלי, משה תנך ויוסי קיסוס. צילום: אביב קורט

חברת ניוריאליטי (NeuReality) מקיסריה השלימה גיוס הון בהיקף של 20 מיליון דולר שהתבצע בהשתתפות קרן מועצת החדשנות האירופית European Innovation Council)) וקרנות ההון סיכון Varana Capital, Cleveland Avenue, OurCrowd ו-XT Hi-Tech. גיוס ההון מיועד לממן את המכירות והשיווק, בעקבות השלמת הפיתוח ואבטחת הייצור בסוף שנת 2023, ומעבר למכירות מסחריות. גיוס ההון הקודם של החברה הושלם בנובמבר 2023 ובמהלכו היא גייסה 35 מיליון דולר. מאז הקמתה החברה גייסה כ-70 מיליון דולר.

חברת ניוריאליטי פיתחה טכנולוגיה ייעודית מבוססת חומרה ותוכנה אשר נועדה להאיץ פי 10 את מהירות העיבוד של משימות הסקה (Inference) במרכזי נתונים. הפתרון של החברה מבוסס על שבב חומרה ייעודי שהיא פיתחה (NeuReality NR1), אשר מיוצר בחברת TSMC בתהליך של 7 ננומטר ופועל ביחד עם חבילת תוכנות להפעלת השבב ולניהול מטלות ההסקה. מעבד הליבה מבוסס על ארכיטקטורת NAPU – Network Addressable Processing Units, שלהערכת החברה היא יעילה יותר עבור הסקות AI מהגישה הקלאסית של שרתים מבוססי CPU.

הארכיטקטורה הזו מאפשרת לבצע מטלות העברת נתונים (data-path functions) רבות בחומרה עצמה ולא בתוכנה, כפי שמקובל היום, ועל-ידי כך להאיץ את העיבוד (DLA – Deep Learning Acceleration). מייסד משותף ומנכ"ל החברה, משה תנך, אמר שמאיצי ה-AI הקיימים היום בשוק מאופיינים בנצילות נמוכה של כ-30%-40% בלבד. "השקעות נרחבות במאיצי למידה עמוקה (DLAs) לא פותרת את בעיית יעילות המערכת. הדבר דומה להתקנת מנוע חזק במכונית – כדי להתגבר על פקקי תנועה. אנחנו מספקים 'נתיב תחבורה מהיר ורחב' אשר מנתב משימות למאיצי AI ייעודיים, ועל-ידי כך מגיע לזמני תגובה מהירים".

שרת ההסקות NR1-S של חברת ניוריאליטי
שרת ההסקות NR1-S של חברת ניוריאליטי

כיום החברה מספקת שני פתרונות מרכזיים: שרת בינה מלאכותית מלא מדגם NR1-S, המכיל 10 רכיבי NeuReality NR1 ו-10 מאיצי דיפ לרנינג (Deep Learning Accelerator) ומעבדי GPU, ומודול NR1-M המופיע בכרטיס PCIe, אשר מכיל רכיב אחד של ניוריאליטי ויכול להתחבר אל מאיצים ושרתים קיימים סטנדרטיים. כיום היא מבצעת התקנות ראשונות בקרב ספקים נבחרים של שירותי ענן ולקוחות ארגוניים במגזרי השירותים הפיננסיים, שירותים עסקיים וממשלה.

חברת ניוריאליטי נוסדה בשנת 2019 על-ידי משה תנך, סגן נשיא לתפעול צביקה שמואלי, וסגן נשיא לפיתוח שבבים יוסי קסוס. לפני הקמת ניוריאליטי, מילא משה תנך תפקידים הנדסיים בכירים במארוול ובאינטל ישראל ושימש כסגן נשיא למו"פ בדיזיין-ארט נטוורקס (שנמכרה לקואלקום). צביקה שמואלי כיהן כסגן נשיא Backend במלאנוקס וכסגן נשיא להנדסה בהבאנה לאבס. יוסי קסוס שימש כדירקטור בכיר להנדסה במלאנוקס וכראש תחום פיתוח השבבים באיזיצ'יפ. הצוות המוביל של החברה כולל את ה-CTO ליאור חרמוש, לשעבר מייסד משותף ומדען ראשי של ParallelM ו-fellow בחברת PMC Sierra, ומנהל המו"פ אילן אביטל, לשעבר סגן נשיא הנדסה של חטיבת התקשורת למרכזי נתונים בחברת אינטל.

ASML מאיימת לעזוב את הולנד בשל מדיניות הימין נגד מהגרים

בתמונה למעלה: כיוונון מערכת ליתוגרפיה במפעל ההרכבות של ASML. צילום: ASML

חברת ASML ההולנדית שוקלת להוציא חלק מפעילותה מהולנד, וזאת על רקע יוזמות שמקדמת מפלגות הימין במדינה נגד מהגרים. לפי הדיווחים, בין היוזמות החקיקתיות שמטרידות ביותר את ASML הן ביטול הקלות מס למהגרים מיומנים והגבלת מספר הסטודנטים הזרים המורשים ללמוד במדינה. חוקים מעין אלה עשויים להקשות על ASML להרחיב את כוח העבודה שלה, מאחר שהיא נסמכת במידה רבה על הון אנושי זר.

ASML היא החברה הגדולה ביותר בהולנד. היא מייצרת מכונות ליתוגרפיה לייצור שבבים, ונחשבת לאחת מעמודי התווך של תעשיית השבבים העולמית. החברה מעסיקה כ-23 אלף עובדים בהולנד, מתוכם כ-40% הם מלאומים זרים. ASML מצויה בתנופה עסקית מואצת, וזאת על רקע הביקוש הגובר לשבבים מתקדמים לתחומי ה-AI, מחשוב ענן, מובייל ועוד. הלכה למעשה, ASML היא מונופול טכנולוגי בתחום מכונות הליתוגרפיה באור אולטרה-סגול קיצוני (EUV), שהן מרכיב הכרחי בייצור שבבים בגיאומטריות הזעירות. הכנסותיה ב-2023 הסתכמו ב-27.5 מיליארד אירו, לעומת 21.1 מיליארד אירו ב-2022. היא נסחרת בנסד"ק בשווי שוק של 377 מיליארד דולר, לאחר עלייה של 400% בערך המניה בחמש השנים האחרונות. 

בחודש נובמבר 2023 התקיימו בחירות בהולנד, כאשר הנושא הראשי על סדר היום היה המדיניות כלפי מהגרים. בבחירות זכתה "מפלגת החירות" (PVV) בראשות חרט וילדרס בניצחון סוחף, כאשר גרפה 23.3% מהקולות ו-37 מושבים בפרלמנט. המפלגה דוגלת בהקשחת המדיניות כלפי מהגרים ואף מובילה קו אנטי-מוסלמי. עם זאת, טרם הוקמה ממשלה חדשה בשל התנגדות מפלגות הימין-מרכז לשבת בממשלה תחת וילדרס. בעקבות כך, הודיע וילדרס בשבוע שעבר שהוא מוותר על תפקיד ראש הממשלה, על-מנת לאפשר הקמת קואליציית ימין שתקדם את האג'נדה כנגד הגירה.

בחודש ינואר 2024, בריאיון לרשת RTL המקומית, אמר מנכ"ל ASML פיטר ווניק: "בסופו של דבר, נוכל לצמוח רק אם נמצא מספיק עובדים מיומנים. אנחנו מעדיפים לעשות זאת כאן בהולנד, אך אם לא נצליח לגייס מספיק עובדים מיומנים, נעשה זאת במזרח אירופה, באסיה או בארצות הברית". בשיחת הוועידה עם משקיעים לאחר פרסום הדו"ח לרבעון הרביעי, התייחס ווניק ליוזמות המוצעות להגבלת הגירה ואמר: "היזהרו, כי תקבלו בדיוק את מה שרציתם. ההשלכות על הגבלת הגירה הן רחבות. אנחנו צריכים את האנשים האלה למען החדשנות. אם לא נשיג את האנשים האלה כאן, נלך למקום אחר שבו נוכל לצמוח".

חברת ASML בונה את המערכות המורכבות שלה בעיר וולדהובן (Veldhoven) שבדרום הולנד. לפי דיווחים בתקשורת המקומית, היא שוקלת להעביר את הפעילות הזו לצרפת. בממשל ההולנדי לא נותרים אדישים לאיומי ASML, והקימו כוח משימה בין-משרדי תחת שם הקוד "מבצע בטהובן", שנועד לגבש דרכים שימנעו מ-ASML להתרחב מחוץ להולנד. ראוי לציין שהחשש ממדיניות הגבלת ההגירה אינו נחלתה של ASML בלבד. בשבוע שעבר דיווחה סוכנות רויטרס שחברות נוספות שוקלות כעת את צעדיהן לאור אי-הוודאות באשר למדיניות הממשלתית בנוגע לחוקי ההגירה וגם להכבדת נטל המס על חברות. בשיחה עם סוכנות הידיעות אמר ג'ין שרויירס, מנהל הפעילות בהולנד של יצרנית השבבים ההולנדית NXP: "אם אנשים ירגישו לא רצויים, הולנד לא תהווה עבורם יעד. אנחנו צריכים להיזהר שלא להוריד לטימיון את כל מה שבנינו כאן לאורך השנים".

שוק ייצור השבבים מתחיל לצאת ממשבר 2023

נתוני הרבעון האחרון של שנת 2023 מגלים שתעשיית שירותי ייצור השבבים (Foundry) מתחילה לצאת מהמשבר שאיפיין את השנה הקשה שעברה עליה. כך עולה מסקר שוק חדש של חברת TrendForce, אשר זיהה עלייה של 7.9% במכירות הרבעון אצל 10 קבלניות הייצור הגדולות ביותר, להיקף של כ-30.5 מיליארד דולר. הסקר מגלה שחברת TSMC הטאיוואנית ממשיכה להתחזק, ובמהלך הרבעון היא החזיקה בכ-61% משוק שירותי הייצור העולמי.

שנת 2023 היתה שנה קשה לתעשיית שירותי הייצור, אשר התמודדה עם עודף מלאים של רכיבים אצל הלקוחות (שנוצר עקב משבר הקורונה), מיתון בכלכלה הגלובלית והתאוששות איטית מאוד של הכלכלה הסינית. המגמות האלה יצרו מגמת ירידה שהתבטאה בירידה שנתית של 13.6% במכירות, להיקף של כ-11.5 מיליארד דולר בלבד (אצל 10 הקבלניות המובילות).

הסוד של TSMC: עדיפות טכנולוגית

להערכת החברה, המגמה ב-2024 מתהפכת, כאשר התאוששות בשוק הסמארטפונים ודרישה החזקה לפתרונות מבוססי בינה מלאכותית (AI) תייצר עלייה של 12% במכירות השנתיות, להיקף של כ-125.2 מיליארד דולר. חברת TSMC מובילה את המגמה וצפויה לחזק את מעמדה בשוק. ברבעון האחרון של 2023 צמחו מכירותיה בכ-14% להיקף של כ-19.66 מיליארד דולר, כאשר חלקם של התהליכים המתקדמים (7 ננומטר ומטה) זינק לכ-67% מהמכירות ברבעון. החברה מצפה שבעקבות התהליך ההדרגתי של כניסה לייצור ב-3 ננומטר, משקלם של התהליכים המתקדמים יהיה יותר מ-70% מהמכירות.

חברת סמסונג דיווחה על עלייה בהזמנות רכיבים למכשירי סמארטפון, בעיקר בתהליך 28 ננומטר, אולם בביקוש לרכיבים מרכזיים ומודמים המבוססים על תהליכים מתקדמים לא חל שינוי. התוצאה: ירידה של 1.9% במכירות בהשוואה לרבעון המקביל 2022, להיקף של כ-3.6 מיליארד דולר. חברת GlobalFoundries נהנתה מעלייה של כ-5% במכירות, בעיקר בעקבות הזמנות עבור תעשיית הרכב, אול בתחומים מרכזיים אחרים, כמו IoT, מוצרים תעשייתיים ורכיבי תקשורת היא חוותה ירידה.

חברת UMC דיווחה על ירידה של 4.1% במכירות להיקף של כ-1.7 מיליארד דולר, וחברת SMIC חוותה עלייה של 3.6% ברבעון והגיעה להיקף מכירות של כ-1.7 מיליארד דולר. חברת טאואר סמיקונדקטור הישראלית מדורגת במקום ה-7 בעולם. מכירותיה ברבעון האחרון של 2023 ירדו בכ-1.7% בהשוואה לרבעון השלישי והסתכמו בכ-352 מיליון דולר, המהווים כ-1.1% מכלל שוק קבלנות ייצור השבבים העולמי.

אינטל מרכזת את כל פעילויות הייצור בגוף חדש: Intel Foundry

חברת אינטל (Intel) הכריזה היום על הקמת הגוף החדש Intel Foundry, אשר כולל את כל מפעלי ותשתיות הייצור של החברה בכל העולם. הגוף החדש יספק שירותי ייצור מתומחרים לחטיבות השונות של אינטל וללקוחות חיצוניים. בנוסף, היא תארגן אותו תחת תפישת ייצור חדשה המותאמת לעידן ה-AI והרכיבים הגדולים ומרובי האריחים. בכך אינטל מממשת את החלטתה לארגן את החברה במודל פעילות חדש שקיבל את הכינוי IDM 2.0, המבוסס על מתכונת של ספקית שירותי ייצור (Foundry) עצמאית.

בשיטה הזאת, כל חטיבות המוצר של אינטל יפעלו כמו חברות ללא מפעל ייצור (Fabless) ויתחרו על קבלת שירותי יצור מחטיבת הפאונדרי אשר תפעל במתכונת של מוקד רווח עצמאי. ביוני 2023 העריך סמנכ"ל הכספים של החברה, דייויד זינסנר, שהמעבר למתכונת החדשה יתקיים ברבעון הראשון 2024. ההכרזה נעשתה בכנס Intel Foundry Direct Connect הראשון, המתקיים היום (ד') בסן פרנסיסקו. קבוצת הפאונדרי מקיפה את כל פעילויות הייצור ופיתוח הייצור של אינטל, ותכלול חטיבה ייעודית המספקת שירותי ייצור בחוזה עבור לקוחות חיצוניים (עד היום זו היתה חטיבת Intel Foudry Services – IFSׂׂ).

אינטל קריית גת צפויה להשתלב במהלך

הקבוצה כוללת את כל מפעלי הייצור של אינטל בעולם, כולל המפעל בקריית גת. בישראל פועל כיום מפעל פאב-28, ונמצא בהקמה מפעל פאב-38. מדובר מדובר באחד ממפעלי הייצור הגדולים והמתקדמים של אינטל, ולכן יש לצפות שהוא ייצר גם רכיבים של אינטל וגם רכיבים עבור הלקוחות החיצוניים. אינטל תדווח בסעיף נפרד על התוצאות הכספיות של פעילות הייצור, כדי להבטיח שקיפות המאפשרת לשוק להעריך את ביצועי החברה בצורה מדויקת יותר. במקביל להקמת הקבוצה החדשה, הודיעה אינטל על גיבוש תפישת ייצור המותאמת לעידן הבינה המלאכותית (AI). התפישה החדשה תמומש בתחילה במפעל הייצור באורגון, ארה"ב, ולאחר מכן תונחל לאתרי הייצור האחרים.

תפישת הייצור החדשה קיבלה את הכינוי Systems Foundry Offering. היא מבוססת על ההנחה שרכיבים גדולים מאוד המאפיינים את עידן הבינה המלאכותית ורכיבים מרובי אריחים (Chiplet) זקוקים לפתרון טכנולוגי כולל ולא רק למומחיות בייצור סיליקון: הם מבוססים על אופטימיזציה ושילוב של טכנולוגיות רבות, החל מתכנון שבבים, טכנולוגיות אריזה מתקדמות, מרכיבי תוכנה ורכיבים נוספים ברמת המערכת.

תפישת ייצור המותאמת לעידן ה-AI

להערכתה, מערכות ה-AI הקיימות היום ממצות רק כ-30% מהפוטנציאל הטכנולוגי הקיים בגלל חוסר יעילות ועיכובים. לכן, על-מנת לספק את הרעב לAI לטווח ארוך נדרשת אופטימיזציה של כל המערכת: תהליך הייצור ברמת הטרנזיסטור, המארז והחיבוריות בין השבבים, רשתות תקשורת פנימיות בתוך ובין השבבים, מערכות קירור ואספקת כח, וכן התוכנה והאלגוריתמים. המטרה של מודל SFO היא אופטימיזציה כוללת שילוב ומיפוי אופטימלי של כל שכבות המערכת המייצרות פתרון AI מיטבי.

מבחינת אינטל פאונדרי, פירוש הדבר שהיא צריכה לנהל את כל מרכיבי הפתרון – החל ממכונות הייצור וכלה במוצר הסופי. לכן היא שוקדת בשנה האחרונה על יצרת בריתות ושיתופי פעולה אסטרטגיים עם אקוסיסטם רחב מאוד שיסייע לה לבצע אופטימיזציית תהליך מלאה. היא הכריזה על הסכמי שיתוף פעולה עם חברות כמו סימנס, קיידנס, סינופסיס, Arm, מיקרוסופט, Open AI ועוד. אפילו שיתופי הפעולה שלה עם חברות כמו טאואר סמיקונדרטור וגלובלפאונדריז, מעניקים לה יכולת לשלב את הידע הייחודי שלהן במערך האופטימיזציה שלה. 

Renesas רוכשת את Altium

יצרנית השבבים היפנית רנסאס (Renesas) רוכשת את חברת אלטיום (Altium), המספקת תוכנות לתכנון מעגלי PCB, תמורת 5.95 מיליארד דולר (אמריקאי) במזומן. העיסקה אושרה על-ידי מועצות המנהלים של שתי החברות, וצפויה להסתיים במחצית השנייה של 2024, לאחר אישור אסיפת בעלי המניות של אלטיום. המחיר מייצג פרימיום של כ-39% על מחיר מניית אלטיום ב-14 בפברואר בבורסה האוסטרלית. בשנת הכספים שהסתיימה ביוני 2023, הסתכמו מכירות אלטיום בכ-263 מיליון דולר.

לאחר השלמת העיסקה, אלטיום תמשיך לפעול כחברה עצמאית הנמצאת בבעלותה המלאה של רנסאס. חברת אלטיום הוקמה בשנת 1985 והיתה מחלוצות תחום התוכנות לתכנון מעגלים מודפסים. בשנים האחרונות היא הרחיבה את הגדרת התחום, ובאמצעות פלטפורמת Altium 365, היא מספקת תשתית לתכנון בענן, תשתית לתכנון שיתופי, ותכנון מערכתי הכולל סימולציה תלת מימדית, שילוב כלי תכנון מכניים, תכנון ברמת המערכת ותכנון שרשרת האספקה עבור המוצר.

להערכת החברה, היא מחזיקה בכ-25% משוק ה-PCB CAD העולמי. המכירות של חברת רנסאס הסתכמו בשנת 2023 בכ-9.8 מיליארד דולר. העיסקה התגבשה במסגרת אסטרטגיית To Make Our Lives Easier של חברת רנסאס, אשר נועדה להרחיב את התמיכה במפתחים מרמת השבב הבודד אל רמת המערכת. החברה נמצאת כיום בתהליך הרחבת הפורטפוליו ומספקת מיקרו בקרים (MCU), רכיבי תקשורת, רכיבים אנלוגיים ורכיבי הספק.

כעת היא בונה תשתית לממשק משתמש המאפשרת להשלים את התכנון מרמת הרכיב הבודד עד לרמת המערכת. מטרת העיסקה היא לספק פלטפורמה משולבת ופתוחה המאפשרת לנהל את כל מחזור חיי המוצר, ולשתף תכנונים בין חברי הקהילה. רנסאס מסרה שרכישת אלטיום היא "הצעד המשמעותי הראשון" בבניית תשתית דיגיטלית לתכנון אלקטרוני ברמת המערכת.

טאואר סוגרת את מפעל פאב-1 במגדל העמק

בתמונה למעלה: מפעל פאב-1 של טאואר במגדל העמק

חברת טאואר סמיקונדקטור (Tower) סוגרת את מפעל פאב-1 הפועל ממגדל העמק, וממזגת חלק מקווי הייצור שלו עם פאב-2 הצמוד אליו. מפעל פאב-1 מייצר שבבים בפרוסות סיליקון בקוטר של 6 אינטש (150 מ"מ) ומפעל פאב-2 מייצר שבבים בפרוסות של 8 אינטש (200 מ"מ). במסגרת המהלך, יבוטלו חלק מקווי הייצור הנחשבים לבעלי שיעור ריווחיות נמוך. כך מסרה החברה עם פרסום הדו"חות הכספיים של הרבעון האחרון לשנת 2023.

בשיחת הוועידה עם משקיעים אמר מנכ"ל טאואר, ראסל אלוונגר, שפאב-1 עובד היום בתפוקה של 60% בלבד ופאב-2 עובד בתפוקה של כ-75%. "במסגרת תהליך האופטימיזציה הזה נעביר ממפעל פאב-1 חלק מהציוד המצוי בו, אשר תומך בייצור שבבים מתקדמים בפרוסות סיליקון של 200 מ"מ, ונתקין אותו בפאב-2 . הדבר יבטיח גם המשכיות ייצור וגם יעילות טובה יותר".

ברבעון האחרון של 2023 הסתכמו מכירות טאואר בכ-352 מיליון דולר בהשוואה למכירות של 403 מיליון דולר ברבעון המקביל אשתקד. הרווח הגולמי ירד מ-125 מיליון דולר לכ-84 מיליון דולר. במהלך הרבעון החברה ביצעה השקעות של 136 מליון דולר בציוד. היקף המכירות בשנת 2023 כולה ירד לכ-1.42 מיליארד דולר בהשוואה למכירות בהיקף של 1.68 מיליארד דולר בשנת 2022. למרות שהחברה פירסמה תחזית לרבעון ראשון חלש ב-2024 (מכירות של כ-325 מיליון דולר), אלוונגר צופה שהמכירות יתאוששו במהלך השנה, בזכות סימנים ראשונים לתפנית בשוק השבבים העולמי.

שנת 2024 צפויה להיות שנת תפנית בתעשיית השבבים

הוא הדגים את מגמת השינוי בכך שסימני ההתאוששות בשוק המובייל מתבטאת בעלייה בניצולת הייצור של טאואר בקווי 200 מ"מ ו-300 מ"מ המייצרים רכיבי RF SOI. להערכתו יש לחברה יכולת להתמודד עם הדרישה הזאת בזכות השותפות עם יצרנית השבבים הצרפתית-איטלית STMicroelectronics במפעל R3 הנמצא בעיירה אגראטה שבצפון איטליה (למרגלות האלפים). "ב-2023 הסמכנו את המפעל והתחלנו לספק את אצוות הייצור הראשונות (Tapeout). ב-2024 הוא יתחיל לעבור למתכונת של ייצור המוני, מהלך שיסתיים בתחילת 2025".

הוא גם אמר שהחברה בנויה לספק מענה לדרישה הגוברת הנובעת מצמיחת שוק הבינה המלאכותית (AI), ומרחיבה את אחיזתה בשוק הסיליקון פוטוניקס עם הסכמי ייצור של מערכות LiDAR, ושיתופי פעולה אסטרטגיים עם ענקיות בתחום כמו ברודקום, סאמטק ו-MACOM. בתחום רכיבי ההספק היא מתמודדת עם בעיית תיקון עודפי המלאי בתעשייה, במיוחד בקווי 200 מ"מ עבור תעשיית הרכב. למרות זאת יש סימנים לביקוש גובר לרכיבי הספק המיוצרים ב-300 מ"מ עבור מוצרים צרכניים.

בתחילת השבוע חשפה טאואר הסכם שיתוף פעולה מעניין עם חברת Renesas היפנית, אשר במסגרתו היא תייצר עבור רנסאס רכיבי SiGe BiCMOS לעיצוב אלומה (Beamforming) המיועדים למסופים לווייניים ותעופתיים. בעקבות פרסום הדו"ח, זינקה מניית טאואר בנסד"ק ממחיר של כ-28.5 דולר למחיר של כ-33 דולרים, והיא נסחרת בשווי שוק של כ-3.67 מיליארד דולר.

iNPACK ו-ATS מקימות גוף OSAT ישראלי

בתמונה למעלה: בתוך קו הייצור של iNPACK במגדל העמק. צילום: אסף הבר

חברת iNPACK מקבוצת פי.סי.בי טכנולוגיות (PCB Technologies) ממגדל העמק, המתמקדת במצעים ומארזים חכמים, וחברת ATS Engineering מיקנעם, הכריזו על שיתוף פעולה אסטרטגי להקמתו של גוף ה-OSAT הראשון במזרח התיכון (Outsourced Semiconductor Assembly and Testing – OSAT). המיזם נועד לתת מענה לדרישות המתפתחות בתחום ה-Post Silicon, החל מתכנון וייצור מצעים, מארזים חכמים ועד מיון פרוסות סיליקון (Wafers), בדיקתן והסמכתן תחת קורת גג אחת.

החולייה החסרה בשרשרת האספקה

המהלך האסטרטגי הזה מספק מענה לצרכים הביטחוניים המתפתחים. הוא יאפשר לחברות ישראליות לבצע בארץ את כל תהליכי ה-Post Silicon בלי להסתמך על ספקים זרים. הגוף החדש יעניק יכולת להגן על תהליכים קריטיים, דוגמת ביצוע מקומי של כל תהליך הייצור והבדיקות של רכיבים ביטחוניים ותעופתיים. ראוי לציין שלקוחות המשתמשים בשירותי ייצור של חברות כמו טאואר סמיקונדקטור, יוכלו להשלים את כל שרשרת האספקה – מפיתוח ועד אספקה ללקוח הסופי – בלי לצאת מגבולות ישראל.

מנהל iNPACK, יניב מיידר אמר: "אנחנו נרגשים לצאת למסע פורץ דרך עם ATS. שיתוף הפעולה הזה מבטא החלטה אסטרטגית לספק מעטפת ייצור מלאה לתעשייה הישראלית. לדבריו, שיתוף פעולה עמוק בין מומחית לבדיקות רכיבים כמו ATS, לבין מומחית בתחום המצעים והמארזים כמו iNPACK, מאפשר לספק פתרונות ייחודיים בתעשיית ה-OSAT העולמית: החל מייצור המצע (כולל SIP) ועד אריזתו ובדיקתו. מנכ"ל ATS, אבי טיב, אמר שהגוף החדש יקצר בסדרי גודל את תהליכי הפיתוח והייצור של חברות שבבים ישראליות. "אנחנו שואפים להקים גוף OSAT העומד בסטנדרטים העולמיים המחמירים ביותר".

החדרים הנקיים כבר קיימים

חברת ATS מספקת שירותי בדיקה והסמכה ליצרניות שבבים (כולל בדיקות ESD) המבוצעים בחדר הנקי של החברה ביקנעם, אשר כולל ציוד בדיקה ברמת VLSI. החברה גם מפתחת פתרונות בדיקה ייעודיים לבדיקת ואיפיון רכיבים בהתאם לצורכי הלקוחות. בין לקוחותיה ושותפיה העסקיים: Keysight, Advantest, ChipTest ועוד.

חברת iNPACK ממוקדת בתכנון וייצור מצעים לתעשיית המוליכים למחצה ותכנון וייצור אריזות (System In Package) בתהליכי מיקרואלקטרוניקה, ומערכות ממוזערות בטכנולוגיית ייצור ייחודית של Panel Level Packaging. היא מייצרת מעגלים מודפסים ממוזערים מאוד עד למירווח בין מוליכים של 25 מיקרון בלבד (1 מיל), ומחזיקה בטכנולוגיות המאפשרות לקבור רכיבים פאסיביים בתוך מעגלים מודפסים מרובי שכבות וצפופים מאוד. הפעילות כוללת חדר נקי ותשתיות ייצור מיקרואלקטרוניקה ברמת SiP.

התחזית של אינטל הפילה את המניה ב-12%

תהליך ההתאוששות של חברת אינטל (Intel) ארוך, קשה ומלא במהמורות ובתפניות. בסוף השבוע דיווחה אינטל שמכירותיה ברבעון האחרון של 2023 צמחו בכ-10% בהשוואה לרבעון המקביל אשתקד, והסתכמו בכ-15.4 מיליארד דולר. המכירות בשנת 2023 כולה הסתכמו בכ-54.2 מיליארד דולר, בהשוואה למכירות של כ-63.1 מיליארד דולר ב-2022 – ירידה של כ-14%. הבשורה הקשה מבחינת המשקיעים היתה תחזית המכירות לרבעון הראשון  של 2024, העומדת על 12.2-13.2 מיליארד דולר.

בתגובה להודעה הזאת, צנחה מניית אינטל בכ-12% וכעת היא נסחרת בנסד"ק במחיר של 43.6 דולרים, המעניק לחברה שווי שוק של כ-184.5 מיליארד דולר. אלא שראוי לציין שהירידה הזאת מגיעה לאחר שנה של טיפוס איטי שהחל במחיר מניה של כ-25 דולרים בתחילת 2023 והגיע למחיר של 50 דולר בסוף 2023. כלומר, במונחי שוק ההון אינטל נמצאת בתהליך של תיקון וחזרה לעמדה של יצרנית שבבים מובילה – אלא שהתהליך הזה הוא קשה, איטי ורווי במשברים.

הבשורה הטובה ביותר בדו"ח הרבעוני הייתה ההתאוששות של קבוצת המחשבים (Client Computing Group), שמכירותיה עלו בכ-33% והסתכמו בכ-8.8 מיליארד דולר. הבשורה הרעה ביותר הייתה המשך הירידה של קבוצת מרכזי הנתונים ובינה מלאכותית (Data Center and AI), שמכירותיה התכווצו בכ-10% והסתכמו בכ-4 מיליארד דולר בלבד. במובנים רבים, אינטל מתחילה את 2024 כחברה כמעט חדשה: חודש ינואר היה החודש הראשון שבו היא התחילה לפעול במתכונת של פאונדרי פנימי.

במודל הזה (internal foundry model), מתבצע תמחור מסחרי שבמסגרתו מקבלות החטיבות באינטל שירותי ייצור באופן וברמה שבהם הלקוחות החיצוניים מקבלים שירות – כולל קבלה הדרגתית של חירות לאתר ולחתום על הסכמים עם קבלני ייצור חיצוניים – עבור המוצרים שלהן. ראוי לציין שכבר היום מתבצעים כ-20% מהייצור של אינטל באמצעות קבלני ייצור חיצוניים. היעד ארוך הטווח של המתכונת החדשה הוא להגיע לרווח גולמי של כ-60% ולרווח תפעולי של כ-40%.

חטיבת ה-PSG בדרך לבורסה

במקביל, בינואר 2024 החלה לפעול חטיבת המוצרים המיתכנתים (PSG, לשעבר חברת אלטרה) במתכונת של יחידת רווח עצמאית המנוהלת על-ידי סנדרה ריוורה אשר שימשה עד לאחרונה כמנהלת קבוצת מרכזי הנתונים וה-AI. במקומה נכנס לתפקיד ג'סטין הוטארד שהגיע מחברת HPE, שבה ניהל את חטיבת המחשבים החזקים, ה-AI ומעבדות המחקר והפיתוח. החל מהרבעון הראשון 2024, אינטל תדווח על הפעילות של PSG כפי שהיא מדווחת על פעילויות נפרדות (כמו מובילאיי למשל). המטרה ארוכת הטווח היא להנפיק את החברה בתוך שנתיים-שלוש, כאשר אינטל תשמור על מניות רוב בחברה המונפקת.

התפתחות חשובה נוספת היא הצמיחה ההדרגתית של קבוצת שירותי הייצור (IFS), אשר מכירותיה ברבעון האחרון צמחו ב-63% בהשוואה לרבעון המקביל 2022 והסתכמו בכ-261 מיליון דולר. בחישוב שנתי מכירות החטיבה הוכפלו בהשוואה ל-2022 והסתמכו בכ-952 מיליון דולר. אומנם מדובר בשיעור קטן מאוד מהמכירות אל אינטל, אולם היעד האסטרטגי שלה הוא להפוך אותה לחטיבה מרכזית בחברה. להערכת מנכ"ל אינטל, פט גלסינגר, היקף החוזים של IFS לאורך כל חיי המוצר, מסתכם כיום בכ-10 מיליארד דולר. מדובר בהכפלה בהשוואה לשנה שעברה, אולם עדיין ההתקדמות איטית מאוד בהשוואה לגודל המאמץ שאינטל משקיעה.

ירידה של 15% במכירות טקסס אינסטרומנטס

ברבעון האחרון של 2024 ירדו המכירות של חברת טקקס אינסטרומנטס (Texas Instruments) בכ-13% בהשוואה לרבעון המקביל אשתקד, והסתכמו ב-4.08 מיליארד דולר. החברה דיווחה על ירידה של 30% ברווח התפעולי, לכ-1.53 מיליארד דולר. נשיא ומנכ"ל TI, הישראלי אילן חביב, אמר שהרבעון אופיין בירידה בשוק התעשייתי ובשוק הרכב. "ב-12 החודשים האחרונים השקענו כ-3.7 מיליארד דולר במחקר ופיתוח והוצאות כלליות, ועוד 5.1 מיליארד דולר ברכש ציוד ייצור שבבים". להערכתו, המכירות ברבעון הראשון 2024 צפויות להיות 3.45-3.75 מיליארד דולר – בהשוואה ל-4.38 מיליארד דולר ברבעון הראשון 2023. 

בסך הכל, המכירות בשנת 2023 כולה ירדו בכ-15% בהשוואה לשנת 2022, והסתכמו בכ-17.52 מיליארד דולר. הירידה הגדולה ביותר היתה בתחום המוצרים האנלוגיים (15%), האחראים לכ-74% מכל המכירות. המכירות בתחום המעבדים נשאר כמעט ללא שינוי (ירידה של 3%), וקטגוריית "שאר המוצרים" חלה ירידה של 21% להיקף של כ-1.1 מיליארד דולר בשנה.

שוק היעד העיקרי של TI הוא השוק התעשייתי שהיה אחראי לכ-40% מהמכירות של TI, אחריו בחשיבות היה שוק הרכב שתפס כ-34% מהמכירות ב-2023, כאשר ציוד אלקטרוני אישי תרם כ-15% וציוד תקשורת תרם כ-5% מהמכירות. מדובר בשינוי משמעותי בתמהיל המכירות: בשנת 2023 תפסו שוקי התעשייה והרכב כ-74% מהמכירות של TI, בהשוואה לכ-65% ב-2022 וכ-42% בשנת 2013. בעקבות הדו"ח ירדה מניית TI בנסד"ק בכ-2.1% וכעת היא נסחרת לפי שווי של כ-155 מיליארד דולר.

התוצאות של החברה, ובמיוחד התחזית החלשה לרבעון הראשון של 2021, מייצרים אי-ודאות גדולה מאוד בשוק השבבים. מדובר בחברה כל-כך גדולה ומגוונת, שהתוצאות שלה עשויות ללמד על מגמת האטה בשוק השבבים, ובמיוחד בשוק האלקטרוניקה לרכב אשר צמח בהתמדה בשלוש השנים האחרונות, וכעת TI מדווחת על כך שהוא מאט ואפילו מפגין ירידה.

מדובר בתמונת מראה הפוכה מזו שעלתה מהתוצאות של חברת TSMC בשבוע שעבר: אומנם גם קבלנית הייצור הטאיוואנית דיווחה על ירידה של 8.5% בהשוואה למכירות ב-2022 וירידה של 1.5% בהשוואה לרבעון המקביל אשתקד, אולם היא חוותה שינוי מגמה ברבעון האחרון של השנה, שבעקבותיה הצהיר שמנכ"ל החברה, סי.סי. וויי,  שהחברה צופה שהחל משנת 2024 – ולמשך מספר שנים קדימה – היא תציג צמיחה שנתית של 15%-20% במכירות.

טאואר תייצר רכיבי תקשורת של Renesas

חברת טאואר סמיקונדקטור (Tower) ממגדל העמק, וחברת רנסאס היפנית (Renesas Electronics), הכריזו על שיתוף פעולה בייצור שבבים של רנסאס ליישומי תקשורת לוויינית ומערכות הדור החמישי (5G). הפתרון המשותף מבוסס על תהליך הייצור SiGe BiCMOS של טאואר, ומיועד למערכות תקשורת מהדור החדש, הפועלות במתכונת של עיצוב אלומה (Beamforming). רנסאס דיווחה שהיא החלה ביצור סדרתי של המוצרים החדשים, וכבר החלה לשווק אותם ליצרניות של מערכות תקשורת בתחומי הדור החמישי ותעשיות התעופה והחלל. 

מנהל חטיבת התקשורת RF ברנסאס, נאבין ינדורו, אמר שהשותפות עם טאואר ממקמת את רנסאס כמובילה בענף. "הגידול בהזמנות מצד לקוחותינו והמעבר לייצור סדרתי של הרכיבים האלו הוא בעל פוטנציאל עסקי גדול לשנים הקרובות". נשיא טאואר, ד"ר מרקו רקאנלי, אמר שפלטפורמת SiGe של טאואר ויכולת היציור הגלובלית שלה, "מעניקים לרנסאס יכולת לפתח מוצרים עתידיים ומובילים בענף, ויכולת לתמוך באספקתם ללקוחותיה בהיקף גדול".

טכנולוגיית SiGe BiCMOS של טאואר מיועדת ליישומי תדר גבוה, ומאפשרת לייצר טרנזיסטורים מאפשרת לייצר טרנזיסטורים המתמתגים בתדרים של 325/450GHz ומתאימם ליישומי תקשורת נתונים ברמה של 400GbE ולמערכות אלחוטיות דוגמת מקלטי GPS, מערכות מכ"ם העובדות בתדרי 24GHz-77GHz ומערכות תקשורת בגלים מילימטריים מהסוג של 5G. תהליך הייצור הפופולרי ביותר של טאואר בפלטפורמת SiGe BiCMOS, הוא תהליך SBC18H5 המשמש לייצור מקמ"שים אופטיים, מעגלי דחיפה ללייזרים, מערכות התאוששות שעון ועוד.

שוק מערכות התקשורת הלווייניות נמצא בצמיחה. להערכת חברת המחקר Euroconsult, הפריסה הגדולה של לוויינים מנמיכי רום (LEO) תביא לגידול מהיר בשוק המסופים הלווייניים רחבי הפס, והוא צפוי להגיע להיקף של כ-150 מיליון משתמשים עד לשנת 2031, בהשוואה לכ-70 מיליון משתמשים בשנת 2022. הדבר מבטא התפתחות של שוק שנתי בהיקף של 400 מיליון דולר בעשור הקרוב, עבור ייצור רכיבים בטכנולוגיית SiGe.

חברת טאואר סמיקונדקטור מספקת שירותי ייצור שבבים בפלטפורמות מותאמות כגון: MEMS, Power Management (BCD & 700V) ,CMOS Image Sensor, non-imaging sensors, חיישנים, אותות מעורבים ועוד. החברה מחזיקה בשני מפעלי ייצור בישראל (פרוסות סיליקון של 150 מ"מ ו-200 מ"מ), מפעל אחד באיטליה בשותפות עם STMicro (300 מ"מ), שני מפעלים בארה"ב (200 מ"מ), ושני מפעלים ביפן (200 מ"מ ו-300 מ"מ) באמצעות חברת TPSCo שהיא מחזיקה ב-51% ממניותיה.

שינוי ארגוני רחב ב-ST: עוברת למתכונת פעילות זריזה יותר וממוקדת

יצרנית השבבים האיטלקית-צרפתית STMicroelectronics, הכריזה על שינוי ארגוני רחב-היקף אשר יהפוך אותה לחברה יעילה יותר, ועל הקמת ארגון שיווק מסוג חדש הממוקד ביישומים ולא בסוגי רכיבים. השינוי לא צפוי להשפיע על המשרדים המקומיים של החברה בעולם. חברת ST היא ספקית שירותי ייצור השבבים הגדולה באירופה. כיום החברה מעסיקה כ-51,000 עובדים. ברבעון השלישי 2023 הסתכמו מכירותיה בכ-4.4 מיליארד דולר. החברה מעריכה שמכירותיה השנתיות יסתכמו בכ-17.3 מיליארד דולר (צמיחה שנתית של 7.3%). היא נסחרת בבורסה של ניו יורק לפי שווי שוק של כ-40 מיליארד דולר.

במסגרת השינוי האירגוני שייכנס לתוקף ב-5 לפברואר 2024, החברה מבטלת את חטיבת הרכב ועוברת למתכונת של שתי קבוצות מוצרים בלבד (במקום שלוש כיום): חטיבת APMS הכוללת את המוצרים האנלוגיים, רכיבי הספק וחיישני MEMS, וחטיבת המוצרים הדיגיטליים (MDRF), הכוללת מיקרו-בקרים, רכיבים דיגיטליים שונים ורכיבי RF. נשיא ומנכ"ל החברה, ז'אן-מרק שרי, אמר שהשינוי מיועד לקצר את זמני הפיתוח והיציאה לשוק של מוצרים חדשים.

כל אחת משתי החטיבות תהיה בנויה משתי חטיבות משנה: חטיבת APMS תהיה בנויה במתכונת של יחידת פתרונות אנלוגיים וחיישנים, ויחידת מוצרי הספקי ורכיבים דיסקרטיים. חטיבת MDRF הדיגיטלית תפעל במתכונת של יחידת מיקרו-בקרים (MCU), ויחידה לתחום הרכיבים הדיגיטליים ומוצרי RF. במקביל, החברה מבצעת שינוי שיווקי מעניין: לצד ארגון השיווק והמכירות, היא מצרפת אליו גוף חדש אשר יתמקד בשיווק מוצרים לפי שוק היעד (end market) ויפעל בכל משרדי המכירות של החברה בעולם.

הגוף החדש יתמקד בארבעה שווקים מרכזיים: רכב, יישומי הספק תעשייתיים ותעשיית האנרגיה, אוטומציה תעשייתית ומוצרים צרכניים (אבזרים אישיים, ציוד היקפי ציוד תקשורת וכדומה). המנכ"ל שרי אמר שהגוף החדש יחזק את היכולת של החברה לספק "פתרונות מלאים". בכך מאמצת ST את האסטרטגיה של חברות ענק דוגמת טקסס אינסטרומנטס (TI), אשר מספקות תכנוני ייחוס מלאים למוצרי קצה, המבוססים על רכיבים ופתרונות רבים מתוצרתן.

ואלנס מעבירה לאינטל את ייצור שבבי התקשורת החדשים

חטיבת שירותי הייצור של אינטל (Intel Foundry Services) תתחיל לייצר את שבבי התקשורת של חברת ואלנס (Valens) מהוד השרון. עד היום יוצרו השבבים בחברות TSMC הטאיוואנית ו-ST הצרפתית. מההודעה של שתי החברות מתברר שוואלנס מפתחת את הדורות החדשים של מוצריה לצורך ייצור בתהליך של אינטל. ואלנס פיתחה את טכנולוגיית התקשורת HDBaseT המאפשרת להפיץ שידורי תקשורת ומולטימדיה באיכות גבוהה ללא צורך בטכנולוגיות דחיסה או תשתיות יקרות. בסיוע ST היא התאימה אותה לתעשיית הרכב, אשר הגדירה את תקן MIPI A-PHY לתקשורת מהירה בתוך הרכב על בסיס הטכנולוגיה של ואלנס.

הטכנולוגיה מצמצמת את מספר הכבלים בתוך הרכב, שכיום מגיע משקלם הממוצע ליותר מ-150 ק"ג. בינואר 2022 מסרה ואלנס את שבבי משפחת VA7000 המספקת רוחב פס של 8Gbps לכל חיישן ברכב עד למרחק של 15 מטרים, לצורך הערכת שילובם במערכות ה-ADAS של כ-30 יצרניות רכב וכ-10 ספקיות ראשיות (Tier-1) שלהן. בספטמבר 2022 היא חתמה על הסכם לשיתוף פעולה עם אינטל במטרה לאפשר ל-IFS להציע ללקוחותיה פתרונות MIPI A-PHY: המטרה היתה שאינטל תספק ללקוחות שבבי ASIC המיישמים את התקן, או רכיבי SoC גדולים שבהם מוטמעת הטכנולוגיה של ואלנס במתכונת של קניין רוחני.

כעת מתברר שההסכם כולל גם שירותי ייצור עבור ואלנס עצמה. מנכ"ל חברת ואלנס, גדעון בן צבי, הסביר שההסכם מעניק לחברה חבילת משאבים של אינטל, הכוללת תהליכי ייצור מתקדמים, טכנולוגיית מארזי שבבים וגישה אל קניין רוחני רחב (IP) הנמצא בבעלות אינטל. על-פי ההסכם, במהלך ייצור שבבי הדור הבא, אינטל תספק לוואלנס את טכנולוגיות הייצור המתקדמות ביותר שלה, על-מנת להפחית את העלות ואת צריכת ההספק שלהם ולשפר את עמידותם בפני הפרעות אלקטרומגנטיות (EMC).

שבבי הדור הבא ייוצרו באינטל במתכונת של Chiplets ויעמדו בתקן הקישוריות החדש בתוך השבב, UCIe. חברת ואלנס מציגה השבוע בתערוכת CES 2024 בלאס וגאס את הגרסה המסחרית של שבבי VA7000 ביחד עם 20 מוצרים המבוססים עליהם ושעברו הסמכה לעמידה בתקן MIPI A-PHY. בין השאר הם מספקים יכולת קישור מצלמה למרחק של עד 40 מטר באמצעות כבל קואקסיאלי. בנוסף, היא מציגה את שבבי VS6320, המספקים קישוריות לפרוטוקול USB3.2, עד למרחק של 100 מטר.

בגלל המלאי: מובילאיי צופה ירידה של 50% בהכנסות הרבעון

בתמונה למעלה: מנכ"ל מובילאיי, פרופ' אמנון שעשוע

מניית חברת מובילאיי (Mobileye) התרסקה בשבוע שעבר בשיעור של כ-30%, וזאת לאחר שהחברה פרסמה אזהרה מקדימה, לפיה היא צופה כי ההכנסות ברבעון הראשון של 2024 יהיו נמוכות בכ-50% בהשוואה לרבעון הראשון של 2023, שעמדו על 458 מיליון דולר. הירידה בהכנסות תוביל להפסד תפעולי של 242-257 מיליון דולר ברבעון הראשון.

הסיבה לתחזית השלילית שפירסמה מובילאיי היא המלאים העודפים של מוצריה הנמצאים בידי הלקוחות. משיחות שערכה החברה עם לקוחותיה, בניסיון לאמוד את היקף ההזמנות לשנת 2024, הבינה החברה שהם יעדיפו לעשות שימוש במלאים הקיימים לפני שיבצעו הזמנות חדשות. עודפי המלאי האלה נבנו נוצרו 2021-2022, על רקע החשש של חברות רבות באותם ימים מפני חוסרים בשרשרת האספקה. מובילאיי: "כעת, משחששות שרשרת האספקה דעכו, אנחנו צופים כי לקוחותינו ישתמשו במלאים הקיימים שלהם, ולכן הכנסות הרבעון הראשון 2024 צפויות להיות נמוכות משמעותית".

החברה מעריכה שלקוחותיה מחזיקים כעת במלאי עודף של כ-6-7 מיליון שבבי EyeQ עבור מערכות ADAS. בשלושת הרבעונים הנותרים של 2024 צופה מובילאיי הכנסות דומות לאלה של 2023. אלא שההכנסות הנמוכות ברבעון הראשון ישליכו על התוצאות הכוללות של שנת 2024, אשר צפויות לנוע בטווח של 1.83-1.96 מיליארד דולר, בהשוואה להיקף מכירות כולל של כ-2.08 מיליארד דולר בשנת 2023. בעקבות הירידות במנייה, מסתכם שווי החברה בנסד"ק בכ-24.6 מיליארד דולר.

מניית מובילאיי בנסד"ק בשבוע האחרון. מקור: yahoo finance
מניית מובילאיי בנסד"ק בשבוע האחרון. מקור: yahoo finance

ההפסד התפעולי ב-2024 כולה צפוי להסתכם בכ-378-468 מיליון דולר, יותר מפי 10 מההפסד התפעולי של שנת 2023, אשר צפוי להסתכם בכ-33-37 מיליון דולר. בסך הכול, צופה מובילאיי לשלוח ב-2024 כ-31-33 מיליון שבבי EyeQ, לעומת 37 מיליון שבבים ב-2023. מובילאיי אינה החברה היחידה בשוק שסובלת מאפקט המלאים העודפים. גם חברת סולאר-אדג' (SolarEdge) דיווחה בחודש נובמבר כי הכנסותיה ברבעון הרביעי צפויות לשקף ירידה של 60% בגלל מלאי עודף שהצטבר בקרב לקוחותיה.

הוקם איגוד ASRA, לפיתוח טכנולוגיות SoC לתעשיית הרכב

במהלך משותף של תעשיית הרכב, תעשיית האלקטרוניקה ותעשיית השבבים ביפן, הוקם האיגוד התעשייתי Advanced SoC Research for Automotive – ASRA, במטרה לפתח טכנולוגיה חדשה של שבבים מרובי-אריחים (Chiplet) עבור רכיבי SoC המתוכננים להיכנס אל כלי-רכב עתידיים החל משנת 2030. האיגוד הוקם על-ידי 12 חברות אשר יפתחו ביחד את הטכנולוגיות החדשות: שתי חברות ה-EDA המובילות קיידנס וסינופסיס, וחברות השבבים רנסאס, MIRISE, ו-Socionext; יצרניות האלקטרוניקה הממונעת פנאסוניק אוטומוטיב ו-DENSO וחברות הרכב היפניות: הונדה, מזדה, ניסאן, סובארו וטויוטה.

להערכת המייסדות, מכונית ממוצעת כוללת כיום כ-1,000 שבבים נפרדים, החל מרכיבים אנלוגיים כמו טרנזיסטורי הספק, וכלה ברכיבי MMIC, ומיקרו-בקרים (MCU), כאשר הרכיבים החשובים ביותר שבהם תלויה התפתחות הרכב הם רכיבי SoC. הנחת המוצא של ASRA היא שתעשיית הרכב תתבסס על רכיבים SoC מרובי אריחים מכיוון שהם מאפשרים לקצר את זמן היציאה לשוק, להגיע לרמת תפוקה (Yield) גבוהה ולשמור על מחירים נמוכים יחסית.

האיגוד הודיע שהוא מתכנן לפתח טכנולוגיה ייעודית עבור תעשיית הרכב, אשר צפויה להיות מוכנה בשנת 2028, כדי שניתן יהיה להטמיע אותה בתעשייה לצורך התקנות המוניות החל משנת 2030. מעבר לסוגייה הטכנולוגית, קיימת כאן גם התפתחות מעניינת בתחום שרשרת האספקה: יצרניות הרכב נקלעו למשבר קשה בתקופת הקורונה מכיוון שהן היו מנותקות מתעשיית השבבים, שברגע האמת ייעדה את הייצור המועט שבוצע שבוצע בחודשי השיא של המגיפה, לטובת לקוחות חשובים יותר.

באמצעות הגדרת טכנולוגיית שבבים ייעודית לתעשיית הרכב, הנמצאת בבעלות משותפת של יצרניות הרכב ושל תעשיית השבבים, איגוד ASRA בונה שרשרת אספקה עמידה יותר בפני משברים עתידיים דוגמת הקורונה.

TSMC מפתחת תהליך ייצור של 1 ננומטר

חברת TSMC מתכננת להגיע ליכולת ייצור של שבבים בעלי 200 מיליארד טרנזיסטורים עד לשנת 2030, ולצורך זה החלה בפיתוח תהליכי ייצור חדשים של 2 ננומטר, 1.4 ננומטר ו-1 ננומטר. האתר tom'sHARDWARE מדווח שהמידע הזה נמסר לתעשייה על-ידי החברה עצמה, בהרצאתו של מנהל טכנולוגיות המידע של TSMC, כריס לין, במהלך כנס IEDM שהתקיים לפני כשבועיים בסן פרנסיסקו. במקביל, TSMC מפתחת לדבריו גם טכנולוגיות מארזים חדשות (CoWoS, InFO, SoIC) במטרה לאפשר לה לייצר שבבים מרובי-אריחים (Chiplets) הכוללים יותר מטריליון טרנזיסטורים במארז יחיד.

מהשקף של החברה שהוצג בכנס, מתברר ש-TSMC כבר העניקה את הכינויים המסחריים של התהליכים החדשים. בשנת 2025 היא תציג את טכנולוגיית N2/N2P בגאומטריה של 2 ננומטר שתאפשר לה לייצר שבבים הכוללים כ-100 מיליארד טרנזיסטורים בפיסת סיליקון יחידה. במתכונת מרובת-אריחים, שהחברה מכנה בשם 3D Hetero Integration, ניתן יהיה לייצר רכיבים הכוללים כחצי מיליארד טרנזיסטורים. לאחר מכן היא תעבור לטכנולוגיית ביניים של 1.4 ננומטר שקיבלה את הכינוי A14.

היעד הוא להגיע לשנת 2030 עם טכנולוגיית 1 ננומטר בשם A10, אשר תאפשר לה לייצר רכיבי 3D הכוללים יותר ממיליארד טרנזיסטורים. להערכת החברה, זוהי המגמה הבולטת ביותר שתקבע את עתיד תעשיית השבבים: בגלל הקושי העצום הכרוך בייצור שבבים מרובי טרנזיסטורים על-גבי פיסת סיליקון יחידה, התעשייה תאמץ בהדרגה את גישת הרכיבים מרובי-אריחים, אשר תהיה הדומיננטית בשוק. יחד עם זאת, יחידות העיבוד עצמן (דוגמת CPUs) ימשיכו להתבסס על פרוסות סיליקון בודדות, ולהערכת החברה הן יזדקקו לפחות לכ-200 מיליון טרנזיסטורים כדי לתמוך בצורכי התעשייה בשנים הבאות.

אינטל תשקיע עוד 15 מיליארד דולר בפאב 38

בתמונה למעלה: הדמייה של המפעל החדש, Fab 38, באינטל קרית גת

חברת אינטל (Intel) אישרה היום שהיא תגדיל את השקעתה בהקמת מפעל ייצור השבבים החדש בקריית גת (Fab38) בכ-15 מיליארד דולר נוספים, ובכך תסתכם ההשקעה במפעל, שהחלה בשנת 2019, בכ-25 מיליארד דולר. הודעת אינטל מלמדת על סיום המו"מ הממושך וחתימת ההסכם עם ממשלת ישראל. המפעל החדש צפוי להתחיל בייצור שבבים בטכנולוגיית ליטוגרפיה אולטרה סגולה (EUVחדשנית בתוך ארבע-חמש שנים. במסגרת ההסכם, הממשלה תעניק לאינטל חבילת תמריצים בגובה של 3.2 מיליארד דולר שיתפרסו על פני מספר שנים, כאשר אינטל מתחייבת לרכוש מספקים ישראלים מוצרים ושירותים בשווי של כ-60 מיליארד שקל במהלך העשור הקרוב. המפעל החדש ינוהל על-ידי מירב בן חמו קריאף.

חברת אינטל ישראל הוקמה לפני 50 שנה, ומאז צמחה למעמד של מעסיקת ההייטק הגדולה בישראל. מאז הקמתה בארץ הסתכמו השקעותיה בישראל בכ-50 מיליארד דולר. בראיון ברשת פוקס לפני מספר ימים, הביע מנכ"ל אינטל העולמית, פט גלסינגר, תמיכה מרגשת בעובדים בישראל והדגיש את מחויבותה העמוקה של אינטל לישראל. גלסינגר: "הישראלים הם האנשים הכי עמידים בעולם. למרות הקרבות, הם לא פספסו ייצור של אף פרוסת סיליקון או התחייבות שהיתה להם לפיתוח מוצרים. הם העם הקשוח ביותר שיש. בגלל זה אנחנו שם כבר 50 שנה. היינו חברת ההיי-טק הראשונה שהגיעה לישראל והתחילה את הטק ניישן. הם אנשים עמידים ואנחנו תומכים בהם."

ארבעת המנכ"לים של מפעלי הייצור בקרית גת (מימין לשמאל): קרן ארליך מור, דניאל בן עטר, מירב בן-חמו קריאף ואורן כהן
ארבעת המנכ"לים של מפעלי הייצור בקרית גת (מימין לשמאל): קרן ארליך מור, דניאל בן עטר, מירב בן-חמו קריאף ואורן כהן

מנכ"ל משותף של אינטל ישראל, דניאל בן עטר, שלח היום מכתב לעובדי החברה שבו הוא בישר על הסיכום: "ההסכם שנחתם עם ממשלת ישראל תומך באסטרטגיית הצמיחה של אינטל העולמית, מציב את מדינת ישראל כמעצמת ייצור ופיתוח שבבים וממחיש את האמון של אינטל העולמית בישראל. תמיכת הממשלה בהשקעה מהווה גורם משמעותי בתחרותיות של ישראל. תוכנית ההשקעות במפעל החדש הינה בעלת ערך אדיר לצמיחת אינטל העולמית ולחוסנה ועתידה של אינטל ישראל, של מדינת ישראל ושל ישובי הדרום. ההשקעה בדרום תאפשר את פרנסתן של עשרות אלפי משפחות בישראל בהעסקה ישירה ועקיפה".

חברת אינטל ישראל מנוהלת במשותף על-ידי דניאל בן עטר וקרין אייבשיץ סגל. כיום החברה מעסיקה כ-11,700 עובדים בישראל בשני מפעלי ייצור שבבים בקריית גת (fab 28 ו-fab 38 הנמצא כעת בבנייה), ובשלושה מרכזי פיתוח: בירושלים, בחיפה ובפתח תקווה, שבהם מפתחים טכנולוגיות חדשות, בעיקר בתחומי המעבדים והמחשוב, קישוריות, בינה מלאכותית ואבטחת סייבר. בשנת 2022 הסתכמו מכירות אינטל ישראל בכ-8.7 מיליארד דולר, אשר היווה כ-5.5% מכלל ייצוא ההיטק הישראלי, וכ-1.75% מהתוצר המקומי הגולמי של מדינת ישראל.

קמטק קיבלה הזמנה ל-25 מערכות בדיקה

חברת קמטק (Camtek) ממגדל העמק קיבלה מחברת אריזת ובדיקות מארזי שבבים (OSAT) הזמנה לאספקה דחופה של 25 מערכות בדיקה שיסופקו ללקוח כבר בשנת 2024. מנכ"ל קמטק, רפי עמית, אמר שמדובר בהזמנה חוזרת מחברה שהתמחותה המרכזית היא בתחום המארזים ההטרוגניים (Heterogeneous Integration).

שוק המארזים ההטרוגניים הוא אחד מהשבבים בעלי הצמיחה המהירה ביותר בתעשיית השבבים ומתייחס לרכיבים הבנויים במתכונת של מערכת על-גבי שבב (SoC) הבנויה ממספר אריחי סיליקון נפרדים (Chiplets) ומודולי זיכרון מהיר המורכבים אחד על-גבי השני (High Bandwidth Memory – HBM),  אשר מקושרים אחד אל השני במתכונת דו-מימדית ותלת-מימדית בתוך מארז מאוחד (בתמונה למעלה). להערכ החברה שוק הצ'יפלט צומח בקצב של כ-36% בשנה ושוק ה-HBM צומח בקצב של 22% בשנה.

המנכ"ל עמית חזר על הערכת החברה ש-2024 תהיה שנית שיא נוספת במכירות. בתחילת נובמבר 2023 השלימה קמטק את עסקת רכישת חברת FRT Metrology הגרמנית תמורת כ-100 מיליון דולר במזומןחברת FRT נחשבת לספקית מובילה של פתרונות מדידה מדוייקים עבור שוק המארזים המתקדמים. ברבעון השלישי 2023 הסתכמו מכירות החברה בכ-80.5 מיליון דולר, עלייה של 9% בהשוואה לרבעון הקודם. כ-60% מהכנסותיה הגיעו מהמכירות היו ללקוחות מתחום בתחום המארזים ההטרוגניים. מדובר ברכיבים בעלי יכולת מחשוב משופרת המיועדים לשימוש ביישומי בינה מלאכותית, מרכזי נתונים ומשחקי מחשב.

אינטל וסימנס יפתחו גישה חדשה לייצור שבבים סביבתי

בתמונה למעלה: קיוון אספרג'ני מנהל פעילויות גלובליות באינטל (מימין), וסדריק נייקה מנהל תחום תעשיות דיגיטליות בסימנס

חברת אינטל (Intel) ותאגיד התעשייה הגרמני סימנס (Siemens AG) חתמו על מזכר הבנות לשיתוף פעולה בפיתוח תהליכים מתקדמים לייצור שבבים, אשר יתמקד בהגברת הדיגיטליזציה של התהליך והפחתת הפגיעה הסביבתית. שתי החברות מסרו ששיתוף הפעולה יעסוק בנושאים דוגמת תהליכי ייצור עתידיים, אבטחת סייבר של תהליך הייצור וחיזוק שרשרת האספקה.

מזכר ההבנות כולל מספר תחומים שבהן שתי החברות יבצעו פיתוח משותף: שיפור ניהול צריכת האנרגיה של מפעלי שבבים, יצירת תאומים דיגיטליים (digital twins) של קווי ייצור לצורך האחדת תהליכים יעילים, וייצור מודלים מורכבים להערכת חתימת הפחמן ופליטת חומרים לכל רוחב שרשרת האספקה של התעשייה.

חברת אינטל הסבירה בהודעה לעיתונות על ההסכם, שאוטומציה ודיגיטליזציה הן יכולות מרכזיות שיאפשרו לתעשייה לצמצם את הפגיעה הסביבתית של תעשיית השבבים. במקביל, סימנס הודיעה שהיא תעמיד לרשות הפרוייקט המשותף את כל משאבי החומרה והתוכנה שלה בתחומי ה-IoT והמערכות החשמליות. יכול להיות שמאחורי ההודעה עומדת עיסקה שבה סימנס תהיה ספקית מרכזית של תשתיות עבור מפעלי הייצור העתידיים של אינטל.

מעבד הביניים של קואלקום מתמקד בבינה מלאכותית

חברת קואלקום (Qualcomm) הכריזה על מעבד הביניים החדש שלה עבור מכשירי סמארטפון, Snapdragon 7 Gen 3 Mobile Platform. המכשירים צפויים להגיע לשוק בתוך כחודש בטלפונים של VIVO ו-Honor. מדובר בשבב המיוצר בתהליך 4 ננומטר ועובד במהירות שעון מקסימלית של 2.63GHz. בניגוד לדור הקודם שכלל 10.2 מיליארד טרנזיסטורים ויוצר בתהליך של TSMC, הפעם קואלקום לא חשפה את מספר הטרנזיסטורים ואת זהות היצרן. באתרים שביצעו מבחני ביצועים לרכיב הועלתה השערה שהוא מיוצר בסמסונג, מכיוון שביצועי ה-CPU שלו היו נמוכים יותר מאלה של מעבד הדור השני (Snapdragon 7 Gen 2).

יחד עם זאת, ראוי לזכור שהמעבד החדש מיועד בעיקר לספק חוויית משתמש מודרנית, ולכן קואלקום שמה דגש מיוחד על שיפור מערכת ה-GPU, תקשורת אלחוטית קצרת טווח, איכות הסאונד ומשאבי הבינה המלאכותית שהוא מעניק לאפליקציות במכשיר הנייד. בתחום הבינה המלאכותית היא התקינה את מעבד הרשתות הנוירוניות Hexagon ואת מודול היתוך החיישנים Sensing Hub. זהו מודול בינה מלאכותית חסכוני מאוד בהספק, אשר נשאר פעיל ברקע כל הזמן, ועוקב אחר המידע המגיע מכל החיישנים.

מרכיב הבינה המלאכותית שופר גם באמצעות הגדלת ביצועי המעבד הגרפי (GPU) ממשפחת Adreno, אשר משפר את ביצועי הגרפיקה, מכפיל את קצב קליטת המסגרות ומספק מהירות תגובה של משחקים לסדר גודל של מספר מילי-שניות. החברה שילבה יכולות בינה מלאכותית ייעודיים במודולים שונים נוספים. כך למשל, מודול הצילום התומך בשלוש מצלמות שונות, כולל מעגל AI Remosaic מבוסס רשתות נוירוניות להפחתת הגרעיניות ועיוותי הצבע.

מעגל AI Noise Reduction מבצע הורדת רעשים כדי לקבל תמונות ברורות בתנאי תאורה ירודה, ומעגל AI Video Retouch ממפה חוקיות בשינויי הגוונים כדי להעניק לתמונה תחושת HDR like בזמן אמת. הבינה המלאכותית הגיעה גם אל המודם האלחוטי: הוא מיועד לעבוד במערכות הדור החמישי (5G) ולכן צריך להתגבר על בעיית איכות הקליטה במערכות מרובות אנטנה הפועלות בתדרים קצרים. קואלקום שילבה במודם את מערכת AI-Enhanced Signal Boost, המשתמשת בבינה מלאכותית כדי לבצע אופטימיזציה של פעילות כל אחת מהאנטנות הנפרדות שבמכשיר, ואת התיאום ביניהן.

שרת ה-AI של ניוריאליטי ייצא לשוק לפני סוף 2023

חברת ניוריאליטי (NeuReality) מקיסריה יוצאת משלב המו"פ ומתכננת להתחיל במכירת שרתי ה-AI ממשפחת TR1, כבר לפני סוף 2023. החברה דיווחה שהמוצרים ייצאו לשוק בשותפות עם שותפים מתחומי התוכנה, שירותי ענן ויצרני מחשבים. חברת ניוריאליטי פיתחה פתרון כולל הבנוי מחומרה ותוכנה אשר נועד להאיץ פי 10 את מהירות העיבוד של משימות הסקה (Inference) במרכזי נתונים. התפישה של החברה נקראת AI-centric, ומבוססת על ההנחה שעקב הגידול העצום בעיבוד מבוסס בינה מלאכותית במרכזי הנתונים, יש צורך בשרתים ייעודיים לתחום זה.

הארכיטקטורה של החברה מבוססת על שבבי חומרה ייעודיים המיוצרים בחברת TSMC בתהליך של 7 ננומטר, וחבילת תוכנות להפעלת השבב ולניהול מטלות ההסקה. מעבד הליבה מבוסס על ארכיטקטורת NAPU – Network Addressable Processing Units, שלהערכת החברה היא יעילה יותר עבור הסקות AI מהגישה הקלאסית של שרתים מבוססי CPU. הארכיטקטורה הזו מאפשרת לבצע מטלות העברת נתונים (data-path functions) רבות בחומרה עצמה ולא בתוכנה, כפי שמקובל היום, ועל-ידי כך לקבל האצה טובה יותר בעיבוד רשתות נוירוניות (DLA – Deep Learning Acceleration).

מנכ"ל החברה, משה תנך, מסר ל-Techtime שהחברה תגיע לשוק עם שני מוצרים מרכזיים: השרת המלא NR1-S, אשר מכיל 10 רכיבי ניוריאליטי ו-10 מאיצי דיפ לרנינג ומעבדי GPU או ASIC, והמוצר השני הוא כרטיס PCIe בשם NR1-M, אשר מכיל רכיב אחד של ניוריאליטי ויכול להתחבר אל שרתים קיימים סטנדרטיים. היציאה לשוק תתבצע בשיתוף פעולה עם חברות גלובליות אשר ישתמשו בטכנולוגיה של ניוריאליטי: יבמ, AMD וקואלקום אשר יציגו מאיצי דיפ לרנינג מבוססי TR1, ספקית שירותי הענן Cirrascale ויצרניות המחשבים והשרתים לנובו ו-SuperMicro.

אתגר ה-AI דורש פתרון לא סטנדרטי

לדבריו, ניתן אומנם להשתמש בטכנולוגיה כאל כרטיס האצה המוכנס לשרת סטנדרטי בדטה סנטר, "אולם הערך הגדול ביותר שלה מתקבל כאשר מחברים את הכרטיס אל השרת הייעודי שפותח בניוריאליטי. בתחילה הוא יהיה זמין לרכישה ישירה מניוריאליטי, ובהמשך השנה ניתן יהיה לרכוש אותו מהחברות דל, לנובו, HP וסופר-מיקרו". השלב הראשון בתהליך היציאה לשוק יתחיל בשבוע הבא, כאשר החברה תציג את פלטפורמת NR1 בכנס SC23 שיתקיים בדנוור, ארה"ב.

ניוריאליטי הוקמה בשנת 2019 ומעסיקה כיום כ-50 עובדים במרכזי הפיתוח שלה בקיסריה ובתל אביב. היא זיהתה את בעיית ההסקה כצוואר בקבוק בתחום השימוש בבינה המלאכותית (מודלי LLM ו-GenerativeAI) עקב הגידול המהיר והיקף המידע שיש לעבד, ופיתחה טכנולוגיית מענה המוגנת ב-14 פטנטים. לאחרונה העריך מנכ"ל אנבידיה שעל כל דולר המושקע באימון של מודל AI, יושקעו 8 דולרים על הרצת המודלים (הסקה). תנך: "עלויות האנרגיה והעלויות הכספיות האסטרונומיות האלה רק יגדלו ככל שתוכנות, יישומים ו-pipelines יתפתחו בשנים הבאות על גבי מודלי AI בעלי תחכום גובר".

קיידנס הכריזה על מעבדי ה-AI החדשים Neo NPU

חברת קיידנס (Cadence) הכריזה על מעבדי בינה מלאכותית במשפחת Neo™ Neural Processing Units – NPUs המיועדים לבצע הסקות של רשתות נוירוניות באבזרי קצה. מעבדי Neo NPU מגיעים לעוצמת עיבוד של עד 80TOPS לליבה ומספקים תמיכה בבינה מלאכותית יוצרת (Generative AI). באמצעות קישוריות AXI ו-AMBA פנימי, הם יכולים להפיק יכולות AI/ML מכל מעבד מארח, כולל מיקרו-בקרים (MCU), מעבדי יישומים (AP), ומעבדי אותות (DSP).

המעבדים החדשים מופיעים עם ערכת פיתוח התוכנה הייעודית NeuroWeave, אשר תומכת בכל מוצרי הבינה המלאכותית של קיידנס, ובכל מודולי הקניין הרוחני Tensilica IP. החברה מסרה ש-Neo NPU מיועד לשילוב בתכנוני SoC במגוון רחב של מוצרים: חיישנים, אבזרי IoT, מכשירים ניידים, מצלמות, אבזרים לבישים משקפי AR/VR ומערכות ADAS בכלי רכב.

המעבד החדש מאפשר לבנות מערכת מרובת ליבות כאשר הביצועים של כל ליבה נעים בטווח 8GOPS-80TOPS. הוא תומך ב-256-32,000 פעולות MAC, ותמיכה במגוון סוגי נתונים המשמשים היום במערכות בינה מלאכותית, דוגמת INT16, INT8, INT4 ו-FP16. החברה דיווחה שהמעבדים החדשים יחעילים עד פי 20 בהשוואה למעבדי הדור הראשון Cadence AI IP: הם מספקים פי 2-5 יותר הסקות לממ"ר (IPS/mm2) ופי 5-10 יותר הסקות לכל ואט (IPS/W).

המעבד תומך בחבילות הרשתות הנוירוניות הנפוצות TensorFlow, ONNX, PyTorch, Caffe2, TensorFlow Lite, MXNet, JAX ואחרות, ובכלים הפוצים ליצירה אוטומטית של קוד: Android Neural Network Compiler; Delegates TF Lite לביצוע בזמן אמת; וכן בפלטפורמת TensorFlow Lite Micro ליצירת קוד במיקרו-בקרים.

הדו"ח הרבעוני הזניק את מניית אינטל ב-9.5%

בתמונה למעלה: מנכ"ל אינטל, פט גלסינגר

מניית חברת אינטל ׁׂ(Intel) זינקה בסוף השבוע בנסד"ק בכ-9.5%, לאחר שהחברה פירסמה את תוצאות הרבעון השלישי 2023 אשר היו טובות מהצפוי, ושווי השוק של החברה צמח לכמעט 150 מיליארד דולר. הדבר אולי מרמז על תחילת ההתאוששות של החברה לאחר שנה קשה מאוד. מכירות ברבעון השני ירדו בכ-8% בהשוואה לרבעון המקביל אשתקד והסתכמו בכ-14.2 מיליארד דולר. החברה גם פירסמה תחזית חיובית לרבעון האחרון של השנה, עם תחזית מכירות של 14.6-15.6 מיליארד דולר.

למרות הירידה ברבעון, מבחינת אינטל זו בשורה חיובית ראשונה לאחר שני רבעונים קשים מאוד: ברבעון הראשון 2023 היא דיווחה על ירידה של 36% במכירות לכ-11.7 מיליארד דולר, וברבעון השני היא דיווחה על ירידה של 12% בהשוואה לרבעון המקביל, להיקף של כ-12.9 מיליארד דולר. מנכ"ל אינטל, פט גלסינגר, אמר שהתוצאות מראות שאינטל מתקדמת באסטרטגיית IDM 2.0 ובתהליכי ההתייעלות הארגונית שהיא מבצעת, אשר יביאו להפחתה של 3 מיליארד דולר בהוצאות השנתיות של החברה.

במהלך הרבעון השלישי החברה החלה בייצור המוני של שבבים בליתוגרפיית EUV, פירסמה ערכת פיתוח לתהליך 18A החדש והגישה לתוכנית CHIPS הממשלתית בקשה להקמת ארבעה מתקני ייצור בארה"ב בהשקעה כוללת של כ-100 מיליארד דולר. בראיון לאתר Yahoo finance הוא הסביר ששני קווי מוצר חדשים יהיו מרכזיים בהתאוששות אינטל בשנים הבאות: הראשון הוא סדרה של מעבדים המשלבים בינה מלאכותית בתוך המחשב האישי (AI PC)  הכוונה למעבדי Core Ultra החדשים המוכרים גם בשם הקוד Meteor Lake, שפותחו בהובלה ישראלית וצפויים להגיע לשוק בסוף השנה.

הגורם השני הוא מעבדי Xeon החדשים, אשר מביאים את הבינה המלאכותית אל רמת השרתים ומרכזי הנתונים. להערכתו כבר ב-2024 אינטל תתחיל לכבוש מחדש נתחים בשוק מרכזי הנתונים שאותו היא איבדה בשנה האחרונה. הוא גם התייחס למצב בישראל: "בשנה הבאה נציין 54 שנים שבהן אינטל פעילה בישראל. היינו אחת מהחברות הטכנולוגיות הראשונות שהגיעו לישראל. כרגע אנחנו מוודאים שהצוות שלנו ובני משפחותיהם בטוחים. אבל מה שבאמת מדהים זו האיתנות של האנשים בישראל. כל הפעילויות שלנו בישראל ממשיכות לפעול כרגיל, כולל הקמת המפעל החדש, למרות כל האתגרים של המצב הנוכחי. תקוותינו ותפילותינו הן שהשקט והשלווה יחזרו לישראל. אלה לא רק עמיתינו, אלא גם חברינו".

 

וובינר סינופסיס לקישוריות במרכזי נתונים יתקיים ב-8 בנובמבר

ביום ד’, ה-8 בנובמבר 2023 בשעה 20:00 לפי שעון ישראל, תקיים חברת סינופסיס (Synopsys) את הוובינר הראשון מתוך סדרה של שלושה וובינרים בתחום הקישוריות המהירה במרכזי נתונים גדולים (hyperscale) חדשים. מרכזי נתונים מהדור החדש צריכים לתמוך בכמויות מידע גדולות מאוד.

הדבר דורש העברת מידע ברוחב פס של 400G באמצעות 112G Ethernet, בעוד שהדור הבא מתוכנן לקצבי תעבורה של 224Gbps המיושמים באמצעות מתגי 800G/1.6T. סדרת הוובינרים מוקדשת להבנת הדרישות מהמשדרים וכיצד להתמודד עימן.

Part I: Wednesday, November 8, 2023

  • Motivation for SERDES
  • Transmitter Requirements
  • Current/Voltage Mode Drivers

Speaker: 

Noman Hai, Analog Design Manager at Synopsys where he is involved in designing high speed interface IP circuits. His current interests include high speed I/O circuits, design methodology and automation, and mixed-signal circuits. He holds three U.S. patents.

למידע נוסף והרשמה:

CMOS Circuit Techniques for Wireline Transmitters Part I

וויביט מדווחת על הסכם עם יצרנית השבבים הקוריאנית DBH

חברת וויביט ננו (Weebit Nano) דיווחה היום (ה') כי טכנולוגיית הזיכרון ההתנגדותי (ReRAM) שפיתחה תשולב בשבבים של DB Hitek (DBH) הדרום-קוריאנית. DBH, אחת מ-10 יצרניות השבבים הגדולות בעולם, מתמחה בשבבים אנלוגיים וניהול צריכת הספק. בספטמבר 2021 דיווחה וויביט על הסכם רישוי עם יצרנית השבבים האמריקאית SkyWater. מניית החברה נסחרת בבורסה של אוסטרליה בשווי של 620 מיליון דולר אוסטרלי.

לפי ההסכם בין שתי החברות, טכנולוגיית הזיכרון ההתנגדותי של וויביט תשולב בתהליך ייצור של 130 ננומטר – המתאים לשבבים אנלוגיים, אותות מעורבים וניהול צריכת הספק (PMIC) המיועדים בין השאר למוצרי IoT ביתיים ותעשייתיים. כמו-כן, DBH תוכל ליישם את הטכנולוגיה של וויביט גם בתהליכי ייצור נוספים עבור לקוחותיה תמורת רישיון שימוש נוסף. וויביט פועלת במודל של קנין רוחני. כך שמעבר לתשלום שתקבל עבור הרישיון לשימוש בטכנולוגיה שפיתחה ושירותי תמיכה, היא צפויה ליהנות גם מתמלוגים עבור כל מוצר בו תשולב הטכנולוגיה שפיתחה.

המתחרה של הפלאש

חברת וויביט ננו פיתחה זיכרון מסוג ReRAM – Resistive Random Access Memoryהמתבסס על שימוש בחומרים המשנים את התנגדותם החשמלית בתגובה למתח חשמלי, ועל-ידי כך "זוכרים" את רמת המתח גם לאחר ניתוקם ממקור הכוח. מדובר בזיכרון בלתי-נדיף דוגמת זיכרון פלאש, אשר פועל בהספק נמוך ובעל מחזור חיים ארוך כמו זיכרון DRAM. להערכת החברה, המוצר שלה חסכוני פי 1,000 ומהיר פי 1,000 מזיכרון פלאש, ומיועד בעיקר ליישומי IoT, בינה מלאכותית, מרכזי מידע ועוד.

מנכ״ל וויביט, קובי חנוך, אמר: "DBH היא מיצרניות השבבים האנלוגיים וניהול צריכת ההספק הגדולות בעולם. בסיס לקוחותיה הרחב יוכל ליהנות מיתרונות משמעותיים הודות לשימוש בזיכרון שלנו במוצרים חדשים. ההסכם עם DBH נכנס לתוקף מיידי. הביקוש לטכנולוגיה שלנו חזק, ואנו צפויים לחתום על הסכמים נוספים בחודשים הקרובים עם יצרניות שבבים מהשורה הראשונה וחברות לתכנון שבבים". מנכ״ל DBH, קי-סאוג צ׳ו, אמר שהזיכרון ההתנגדותי של וויביט, "יספק ללקוחות תהליך ייצור של 130 ננומטר צריכת הספק נמוכה מאוד, דחיסות גבוהה וזיכרון בעלות נמוכה". 

אינטל נפרדת מחטיבת הרכיבים המיתכנתים

בתמונה למעלה: רכיב מיתכנת של PSG ממשפחת Agilex 

כמעט 8 שנים לאחר שרכשה את חברת אלטרה ׁׂ(Altera) בחודש דצמבר 2015 תמורת 16.7 מיליארד דולר, החליטה אינטל להיפרד ממנה ולהפעיל אותה כחברה בת עצמאית אשר תונפק בבורסה. מייד לאחר רכישת אלטרה, אינטל הקימה על-בסיסה את קבוצת הפתרונות המיתכנתים (PSG), אשר המשיכה לפתח ולייצר את רכיבי ה-FPGA של אלטרה, ופיתחה פתרונות חדשים המשלבים מעבדי CPU, ממשקים היקפיים ומרכיבי FPGA. בתחילה שולבה אלטרה בתוך קבוצת ה-IoT שרק הוקמה, ובהמשך היא הפכה לחלק מקבוצת מרכזי הנתונים ומערכות הבינה המלאכותית (DCAI), אשר אחראית גם על מעבדי Xeon.

אינטל הודיעה שהחברה תפעל במתכונת עצמאית החל מה-1 לינואר 2024. היא תנוהל על-ידי סגנית נשיא ומנהלת חטיבת DCAI, סנדרה ריברה, כאשר מנהל התפעול הראשי יהיה שאנון פאולין, המשמש כיום כמנהל קבוצת PSG. מנכ"ל אינטל, פט גלסינגר, הסביר שהמהלך יעניק ל-PSG עצמאות עסקית שתגדיל את חלקה בשוק ה-FPGA, "ותאפשר לאינטל להתמקד בעסקי הליבה שלנו ובאסטרטגיה ארוכת טווח". אינטל הודיעה שבכוונתה להנפיק את החברה בבורסה בתוך 2-3 שנים. היא גם תבדוק הזדמנויות להכניס אליה משקיעים נוספים, אבל תשמור על מניות רוב, כמו שעשתה עם חברת מובילאיי הישראלית. שתי החברות יעבדו במתכונת של שותפות ארסטרטגית, כאשר הרכיבים של החברה החדשה ייוצרו בחטיבת שירותי הייצור של אינטל (IFS).

חברת אלטרה פיתחה וייצרה רכיבים מיתכנתים (FPGA) מהקצה הגבוה של השוק. המתחרה העיקרית שלה היתה חברת זיילינקס (Xilinx) אשר נרכשה בפברואר 2022 על-ידי חברת AMD. מעניין לציין שעיסקת אלטרה היתה עיסקת הרכש הגדולה ביותר בתולדות אינטל, ועיסקת זיילינקס היתה העיסקה הגדולה ביותר בתעשיית השבבים כולה: AMD רכשה אותה במחיר של כ-50 מיליארד דולר. אינטל ציינה שמחקרי שוק שהיא קיבלה מעריכים ששוק ה-FPGA העולמי צפוי לצמוח בקצב שנתי של כ-9%, ולהגיע להיקף של כ-11.5 מיליארד דולר בשנת 2027, בהשוואה להיקף של כ-8 מיליארד דולר בשנת 2023.

המציאות הפיננסית שאילצה את אינטל להיכנס לשוק שירותי הייצור

בתמונה למעלה, שער הכניסה לפאב 34 באירלנד. כל מכונת ליתוגרפיה עולה 200 מיליון דולר. צילום: Techtime

מאת: רוני ליפשיץ

כאשר חברת אינטל אימצה בחודש מרץ 2021 את אסטרטגיית IDM 2.0 והקימה את חטיבת שירותי הייצור Intel Foundry Services כזרוע פעילות אסטרטגית אשר מובילה השקעות בהיקף של עשרות מיליארדי דולרים בארה"ב ובאירופה, הדבר ניתפש כאיום ישיר על קבלנית שירותי הייצור הגדולה בעולם, TSMC. זאת במיוחד לאור העובדה שמנכ"ל אינטל ומגבש האסטרטגיה, פט גלסינגר, הדגיש מספר פעמים את הכוונה של אינטל להיות ספקית שירותי ייצור מהמובילות בעולם.

הרעיון נראה בלתי סביר: מדוע שיצרנית שבבים מקורית המוכרת מעבדים שהיא פיתחה תמורת שולי רווח גבוהים מאוד, תעבור למודל עסקי של מתן שירותי ייצור, שבו שולי הרווח נמוכים בהרבה? גם המשקיעים לא התלהבו מהרעיון. בחודש מרץ 2021 נסחרה מניית החברה בנסד"ק ב-64 דולר. כיום היא נסחרת במחיר של כ-35.5 דולר בלבד, המעניק לה שווי שוק של 149 מיליארד דולר. אלא שביקור של Techtime במפעל Fab 34 החדש של אינטל באירלנד, מגלה שהסיבה העומדת מאחורי המודל העסקי החדש היא טכנולוגית, לא עסקית. ליתר דיוק: העלות העצומה של המעבר לתהליכי ייצור מתקדמים.

17 מיליארד אירו וחמש שנות הקמה

בשבוע שעבר אינטל חנכה את תחילת הייצור במפעל החדש, אשר ייצר מעבדים בטכנולוגיית Intel 4 הנחשבת למעין מקבילה של 7 ננומטר. הקמת המפעל החלה בשנת 2019 ודרשה השקעה של כ-17 מיליאר אירו. לשם השוואה, אינטל מפעילה באתר שליד דבלין עוד 3 מפעלי ייצור בטכנולוגיות ישנות שעלות הקמתם המשותפת היתה 13 מיליארד אירו בלבד. מדובר בקפיצת מדרגה בעלויות שכמעט ולא ניתן לעמוד בה. כך למשל על-פי הערכות בתעשייה, מפעל מהסוג הזה זקוק ל-10-20 מכונות ליתוגרפיה מסוג EUV ׁ(אולטרה סגול קיצוני). החברה היחידה בעולם המייצרת מערכות כאלה היא ASML ההולנדית, אשר מספקת אותן תמורת כ-200 מיליון דולר לכל מכונה.

בתוך פאב 34. העלויות גבוהות מדי. צילום: Intel
בתוך פאב 34. העלויות גבוהות מדי. צילום: Intel

לכך יש להוסיף חדר נקי שהוא גדול בהרבה מחדרים מקבילים בטכנולוגיות מיושנות יותר, חומרים כימיים חדשים לייצור טרנזיסטורי RibbonFET, פיתוח מערכות מטרולוגיה ייחודיות וחדר נקי ברמת דרישות מסדר גודל חדש לגמרי. חברה יחידה אשר צריכה להתמודד עם העלות הזאת עבור המוצרים שלה בלבד – לא תצליח למכור אותם במחיר המכסה את ההוצאה הזאת. זו כנראה הסיבה שכיום רק 3 חברות מנהלות תחרות על תהליכי הייצור המתקדמים: סמסונג, TSMC ואינטל. החברה העצמאית אחרונה שהשתתפה במירוץ הזה היתה Globalfoundries, שכבר ב-2018 הודיעה שהיא פורשת ממנו.

אינטל הולכת בעקבות סמסונג

חברת סמסונג הכירה מוקדם מאוד בקיומה של הבעיה הזאת, ופיתחה מודל עסקי הכולל ייצור שבבים פרי תכנון עצמי במקביל למתן שירותי ייצור לחברות מתחרות, כמו אפל וקואלקום לשם המחשה. יש חברות נוספות שאימצו את הגישה הזאת, למרות שהן לא נמצאות במירוץ לטכנולוגיות מתקדמות. חברת STMicroelectronics הצרפתית, למשל, מאזנת את עלויות הייצור שלה עם מתן שירותי ייצור לחברות כמו מובילאיי, שהיא מלקוחותיה הגדולים ביותר. למעשה, המהלך של אינטל משאיר את TSMC לבד במערכה: היא החברה היחידה שפועלת במודל עסקי נקי המבוסס על מתן שירותי ייצור בלבד. כיום כל המתחרות הגדולות של אינטל, כמו AMD ואנבידיה למשל, מייצרות את השבבים המתקדמים שלהן בחברת TSMC.

מכאן שהמודל העסקי של אינטל לא מאיים על TSMC, ואינטל אפילו לא מתכננת להתחרות ב-TSMC. למעשה היא מנסה לצמצם את הסיכון הכרוך בכניסה לתהליכי ייצור מתקדמים, מכיוון שזהו סיכון שהיא חייבת לקחת אם ברצונה להמשיך ולהוביל את שוק המעבדים. בטקס חנוכת המפעל שהתקיים בסוף השבוע באירלנד, סיפרה מנהלת הפיתוח הטכנולוגי באינטל, ד"ר אן קהלר, שהחברה כבר מפתחת ארבעה תהליכים חדשים: אינטל 3, אינטל 20A, אינטל 18A והתהליך המתקדם ביותר, Intel NEXT. קהלר: "המטרה שלנו היא להגיע לכטריליון טרנזיסטורים בשבב עד לשנת 2030".

ד"ר אן קהלר חושפת את מפת הדרכים של אינטל. צילום: Techtime
ד"ר אן קהלר חושפת את מפת הדרכים של אינטל. צילום: Techtime

ה-Chiplets דורשים רצפת ייצור פתוחה

מהפיכה הכלכלית נדחפת על-ידי גורם טכנולוגי נוסף הנכנס למשוואה הזאת: מעבר לרכיבי ענק היברידיים הכוללים מספר אריחים (Chiplets) שכל אחד מהם מיוצר בתהליך שונה. השינוי הזה מייצר מודל עסקי חדש. מעבד מודרני אינו מבוסס אך ורק על שבב CPU מתקדם, אלא על שבבים היקפיים משלימים מתוצרת חברות מתמחות ועל מצע מתקדם אשר יכול לקשר ביעילות מספר רב של אריחים. הדבר דומה לאופן שבו פועלת תעשיית תכנון השבבים: כל SoC כולל מודול ייחודי של היצרנית עם הערך המוסף המיוחד שלה, ועוד עשרות מודולי קניין רוחני (IP) מתוצרת חברות מתמחות, אשר תומכים בליבת השבב.

מכאן שהמעבר לרכיבים מרובי-אריחים מרחיב את המודל ה-IP אל רמת החומרה, ומשנה את אופי קווי הייצור. אפילו אינטל כבר נמצאת בעולם הזה: 75% משטח אריחי הסיליקון בשבב המעבד החדש שלה, Meteor Lake, מיוצר עבורה בחברת TSMC. רק 25% ממנו מיוצרים באינטל עצמה. השינוי הזה מחייב אותה לנהל רצפת ייצור פתוחה, אשר יודעת לקבל אריחים שיוצרו במקומות אחרים, יכולה לייצר אריחים מסוגים שונים ומאפשרת לשלב סיליקון זר בתוך הרכיבים שלה, ולהעביר סיליקון שלה אל תוך הרכיבים של חברות אחרות – אפילו של חברות מתחרות. נראה שאינטל בחרה לבנות את מודל הייצור הפתוח שלה באמצעות שילוב של פיתוח וייצור עצמיים, ביחד עם מתן שירותי ייצור לחברות אחרות.

המודל הסיני מגיע למערב

הלקח האחרון מהביקור בפאב 34 קשור למעמד של הממשלות בתעשיית השבבים. עלות התהליכים המתקדמים כל-כך גבוהה, שאפילו חברות כמו אינטל זקוקות לתמריצים ממשלתיים. לכן אירלנד וישראל כל-כך אטרקטיביות, לכן חוק השבבים האמריקאי דחף גל של הקמת מתקני ייצור בארה"ב, ולכן אינטל ממתינה לאישור של האיחוד האירופה לפני שלב בניית התשתיות הבא שלה: הקמת מפעל ייצור ווייפרים במגדבורג, גרמניה, והקמת מפעל הרכבות ובדיקות בוורוצלב, פולין. במובן מסויים, התעשייה העולמית מאמצת את מודל התמיכה הסיני: כסף ציבורי מועמד לרשות חברות הייצור, על-מת לייצר מקורות תעסוקה ולספק תמיכה בתעשייה המקומית הזקוקה לשבבים החדשים.

מפעל אינטל באירלנד החל בייצור סדרתי של תהליך Intel 4

בתמונה למעלה: קו הייצור החדש במתקן Fab 34. צילום: Intel

שהחל בייצור חברת אינטל (Intel) חנכה בסוף השבוע את תחילת הייצור ההמוני של מעבדי Meteor Lake, אשר מתבצע בתהליך הייצור החדש ביותר של החברה, Intel 4, הנחשב לשווה ערך לייצור ב-7 ננומטר. הייצור מתבצע במפעל Fab 34, שהוא מתקן ייצור חדש שהוקם במיתחם אינטל בעיר לייקסליפ שליד דבלין, בהשקעה כוללת של 17 מיליארד דולר. למעשה, מדובר במפעל ייצור השבבים המתקדם ביותר באירופה, אשר כולל חדר נקי בשטח של 47,000 מ"ר, מערכות תמיכה בשטח של 57,000 מ"ר ומספר גדול מאוד של מכונות ליתוגרפיית EUV (אולטרה סגול קיצוני) מתוצרת חברת ASML ההולנדית.

מערכות ה-EUV מאפשרות להקרין מסיכות צפופות מאוד הנדרשות בתהליכי ייצור מתקדמים שבהם גודל הטרנזיסטור הוא פחות מ-10 ננומטר. למעשה, זהו מפעל ה-EUV הראשון באירופה. בטקס פתיחת המפעל השתתפו גם מנכ"ל אינטל, פט גלסינגר, וראש ממשלת אירלנד, ליאו ורדקר. גלסינגר אמר שהקמת פאב 34 הוא שלב ראשון בתוכנית אינטל לבניית תשתית אספקה שלמה של מעבדים. השלב הבא הוא בניית מפעל ייצור ווייפרים (פרוסות סיליקון) במגדבורג, גרמניה, ובניית מפעל הרכבה ובדיקות (OSAT) בוורוצלב, פולין. "הדבר ייצר שרשרת אספקת שבבים שלמה ומלאה הפועלת מאירופה. זה טוב גם לאינטל וגם לאירופה".

ברוכים הבאים לאינטל סיטי

ראש ממשלת אירלנד אמר שהשקעות אינטל היו מרכיב חשוב בתפנית שהתחוללה באירלנד בעשורים האחרונים: "מעבר ממדינה שתושביה נוטשים אותה למדינה שתושביה מוצאים בה עתיד מבטיח". אינטל הגיעה לאירלנד בשנת 1989, והקימה במתחם בלייקסליפ את מתקני הייצור Fab 14, Fab 10 ו-Fab 24, וכעת גם את Fab 34. בסך הכל, היא השקיעה באתר כ-30 מיליארד דולר. כיום מועסקים כ-4,500 עובדים באתר שקיבל את הכינוי "העיר של אינטל". מתוכם, 1,600 עובדים ב-Fab 34 החדש.

פטריק דרק (מימין) בפאב המקומי. מגדיר את עצמו כ"מארח הישראלים"
פטריק דרק (מימין) בפאב המקומי. מגדיר את עצמו כ"מארח הישראלים"

למהלכים כאלה יש השפעות כלכליות שלעתים רבות הן סמויות מהעין. באופן מקרי למדי נפגש Techtime עם פטריק דרק, יזם מקומי בכפר הסמוך למפעל, אשר משכיר דירות לעובדי אינטל המגיעים מחו"ל. "כרגע לנו 60 משפחות של מהנדסים ישראלים שהגיעו לאינטל סיטי", היא סיפר בגאווה. "אנחנו מטפלים בכל הצרכים שלהן, מריהוט הדירה ועד רישום הילדים לבתי הספר ולגנים". לדבריו, זהו עסק מצויין. "אינטל משלמת לנו 3,500 אירו בחודש. כל מחירי השכירות באזור זינקו לשמיים".

גם תהליך Intel 3 ייוצר באירלנד

במהלך סיור עיתונאים שהתקיים בקו הייצור (Techtime היה אורח אינטל בארוע), ניתן היה לראות שורה של מכונות EUV חדשות, שחלקן עדיין נמצא בתהליך התקנה. מבחינת אינטל מדובר בהצלחה, שכן היא הבטיחה להציג ארבע טכנולוגיות חדשות בתוך חמש שנים. כעת היא נערכת להצגת הטכנולוגיה הבאה: Intel 3. טכנולוגיית Intel 4 משמשת לייצור מעבדי Meteor Lake למחשבים ניידים (ישווקו בשם Intel Core Ultra), שהם מעבדי ה-PC הראשונים הכוללים מעבד עזר לבינה מלאכותית. תהליך הייצור Intel 3 ישמש לייצור מעבדי השרתים החזקים ממשפחת Xeon. בביקור התברר שטכנולוגיית Intel 3 תיושם באמצעות המכונות המותקנות היום עבור Intel 4. פירוש הדבר שמדובר ככל הנראה באופטימיזציה של תהליך קיים.

חידושים בתחום הפחתת הפגיעה בסביבה

הקמת Fab 34 בוצעה במסגרת אסטרטגיה שנועדה להפחית את פליטת גזי חממה (GHG), את השימוש באנרגיה ולהקטין את כמות פסולת להטמנה. כך למשל, הבטון לבנייה כלל חומרים ממוחזרים, המפעל מקבל את כל החשמל שלו ממקורות של אנרגיה מתחדשת, וכ-88% מהמים שבהם נעשה שימוש, מטוהרים ומוחזרים לנהר ליפי. החברה מסרה שרק 0.6% מהפסולת שלו מיועדת להטמנה, ושהמתקן נמצא בדרך לקבלת הסמכת LEED Gold. היעד ארוך הטווח של החברה: 100% שימוש בחשמל מתחדש, אפס פסולת למזבלו; ואפס פליטת גזי חממה לכל אורך התהליכים והשימושים עד 2050 – בכל מתקניה בעולם.